CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - VHDL USB

搜索资源列表

  1. USB11112

    0下载:
  2. USB的vhdl代码,具有很强的指导意义,对FPGA进行usb控制很有帮助!-USB vhdl code, which is of great guiding significance. the FPGA control usb helpful!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:140480
    • 提供者:温暖感
  1. USB1_CORE

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:203047
    • 提供者:QiangWang
  1. 6805

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5948
    • 提供者:QiangWang
  1. USB

    0下载:
  2. usb的驱动开发、应用开发(c/c++),以及其FPGA固件开发(VHDL)。-usb driver development, application development (c/c++), as well as its FPGA firmware development (VHDL).
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-30
    • 文件大小:140187
    • 提供者:zbs
  1. vhdl

    0下载:
  2. usb rtl code, to fpga or asic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:156900
    • 提供者:andy
  1. 55593379usb(FPGA)

    0下载:
  2. this a vhdl code for a bus-this is a vhdl code for a bus
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:140484
    • 提供者:siluyuan
  1. slaveController

    0下载:
  2. 对USB的从机设备的IP核进行了重新设计并在一定程度上进行了优化-On the USB device from the IP core has been redesigned to some extent, is optimized
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:56130
    • 提供者:shaqiu
  1. RTL

    0下载:
  2. 对usb设备控制的ip核进行了重新设计并进一步优化-Usb device on the control of nuclear ip has been redesigned and further optimize
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:240436
    • 提供者:shaqiu
  1. pic16c765_HID_mouse

    0下载:
  2. USB HID mouse device firmware source code using PIC s MCU
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:120354
    • 提供者:richman
  1. usb_doc

    0下载:
  2. USB_DOC,详细描述了usb1.1协议-USB_DOC,it descibes usb
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:134308
    • 提供者:龙哥
  1. vhdlshili

    0下载:
  2. 多个vhdl 实例,USB UART I2C VGA-vhdl USB UART I2C VGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:478694
    • 提供者:
  1. USBfpgavhdl

    0下载:
  2. 基于USB的通信程序开发,附源码,在EDA平台上实现 -USB-based communications program development, with source code, in the EDA platform
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-10
    • 文件大小:2104631
    • 提供者:cingerchyy
  1. WSIAUSB

    0下载:
  2. Code usb pour les novices.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-27
    • 文件大小:9250653
    • 提供者:yoan
  1. 2

    0下载:
  2. CCD信号采集系统的USB接口设计,CCD信号采集系统的USB接口设计-vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:192027
    • 提供者:sfsd
  1. 6713_FPGA

    2下载:
  2. DSP+FPGA+USB2.0板子电路图 DSP是6713;FPGA是XilinxXC2S200;USB芯片是CY68013A-128AXC-DSP+ FPGA+ USB2.0 circuit board DSP is 6713 FPGA is XilinxXC2S200 USB chip is CY68013A-128AXC
  3. 所属分类:其他小程序

    • 发布日期:2013-11-06
    • 文件大小:41933
    • 提供者:Hosea
  1. T2_USB_IN

    0下载:
  2. 这是一段关于USB接口输入的VHDL源程序-S9_LED_RUN.rar
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:345018
    • 提供者:王景波
  1. VHDL_NEXYS_Example41

    0下载:
  2. In this example w ill interface the PS/2 port to a PS/2 keyboard, also known as an AT keyboard. The example will not apply to the newer USB keyboards, or to the older, obsolete XT keyboard. Keyboards contain their own microprocessors that conti
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:20044
    • 提供者:will
  1. verilog

    0下载:
  2. source code for USB 2.0 fonction core in verilog
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:57787
    • 提供者:chaitanya
  1. Fusbb_xilinxxP

    0下载:
  2. 一种基于FPGA的usb程序源码,,使用VHDL语言开发。 -An FPGA-based the usb program source, using VHDL language development.
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-03
    • 文件大小:56690
    • 提供者:压榨
  1. USB_BLASTER_code

    0下载:
  2. 用于制作ALTERA FPGA的下载线(USB_BLASTER)的CPLD逻辑代码(VHDL代码)。-USB BLASTER CODE DDFP SDFA SDE DSF DOD DOE DOE DOIII DEG SDAF, FSGR SE.
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:56597
    • 提供者:liyshen
搜珍网 www.dssz.com