CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - ps2 verilog

搜索资源列表

  1. cccp

    0下载:
  2. des-soft.com/download/soft/3894.htm - 12k - 网页快照 - 类似网页 - 以Verilog 描述DES 加密算法(电子书)[ 繁体 ] ... CISCO 的fireware, router , SONY 的PS2 的都一再的证明了这个事实. 现在, 我们 就以著名的网络安全加密算法DES 为例子, 来看看如何用Verilog 来表达... ... Re: 以Verilog 描述DES 加密算法(电子书) 由Anonymous 发
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:8390
    • 提供者:le
  1. Some_design_of_interface(IIC_P

    0下载:
  2. 一些接口电路的Verilog设计,主要包括IIC、PS2、矩阵键盘、RS232、还有一些基础试验的源代码如:除法器、多路选择器、加法器、减法器、8位优先编码器等。,Some design of interface(IIC,PS2,RS232...)
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2993187
    • 提供者:李皓
  1. Verilog_PS2_RS232

    0下载:
  2. 实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上,并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。 -The realization of PS/2 interface with RS-232 data interface, PS/2 keyboard to press the button, through RS-232 automatic transmissi
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1608047
    • 提供者:chalin tong
  1. 1

    0下载:
  2. *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital contr
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5050
    • 提供者:nyw
  1. S7_PS2_RS232

    0下载:
  2. 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1438790
    • 提供者:wphyl
  1. ps2Verilog

    1下载:
  2. 成功使用的PS2 FPGA 模块,有顶层,接收和 发送,产品上能直接应用-PS2 VERILOG
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2721
    • 提供者:renjinguo
  1. ps2mouse

    0下载:
  2. 基于FPGA的PS2鼠标驱动,代码采用verilog语言。-the driver of ps2 mouse
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-07
    • 文件大小:4212
    • 提供者:印俊
  1. ps2

    0下载:
  2. PS2小程序,基于verilog语言写的-PS2 small program, based on verilog language. . . . . . .
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1693
    • 提供者:吴钰
  1. flowLed

    0下载:
  2. verilog实验程序,计数器,lcd,PS2等常用程序-verilog experimental procedure, counters, lcd, PS2 and other common procedures
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1733774
    • 提供者:
  1. ps2

    0下载:
  2. PS2键盘硬件模块控制器,主要实现硬件PS2键盘的控制,适合初学verilog学者实验。-PS2 keyboard controller hardware module, the main hardware PS2 keyboard control, suitable for beginners verilog scholar experiments.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2699
    • 提供者:张龙
  1. ps2_soc2

    0下载:
  2. PS2 Control Verilog RTL Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:21056
    • 提供者:richman
  1. uart_ps2

    0下载:
  2. ps2接口的verilog module 负责用键盘发送数据,附带仿真task仿真,代码简单明了。 uart接口的verilog module ,通过PC机上的串口助手接收并显示键盘发送的数据 FPGA 板调试OK-ps2 verilog module with uart verilog module,fpga simulation ok.ps2 send data and uart get data and display in PC
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:4628
    • 提供者:mayunli
  1. snake_vga_test

    0下载:
  2. verilog实现的贪食蛇,使用ps2键盘接口与vga显示屏-snake game implemented by verilog
  3. 所属分类:Other systems

    • 发布日期:2017-05-20
    • 文件大小:5627783
    • 提供者:clarehance
  1. 外设控制入门篇09:PS2接口控制实验

    0下载:
  2. ps2接口的简单测试与功能演示代码 已经测试过的(ps2Ps2 interface simple and functional demo code has been tested)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:3605504
    • 提供者:我心永恒
  1. ps2

    0下载:
  2. Verilog实现了PS/2协议,经测试好用(I am prepared to use verilog PS/2 protocol, the test is available.)
  3. 所属分类:其他

搜珍网 www.dssz.com