CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - verilog频率计

搜索资源列表

  1. verilog

    0下载:
  2. 基于QUATEUS2的设计一个8位频率计verilog语言编程-The design is based QUATEUS2 an 8-bit frequency counter verilog programming language
  3. 所属分类:Other systems

    • 发布日期:2017-05-15
    • 文件大小:3652546
    • 提供者:雷亚庆
  1. cpld11245

    0下载:
  2. 主要介绍了等精度频率测量原理,该原理具有在整个测试频段内保持高精度频率 测量的优点 同时在该原理基础上,采用了Verilog HDL语言设计了高速的等精度测频 模块,并且利用EDA开发平台QUARTUS11 3 .0对CPLD芯片进行写人,实现了计数等 主要逻辑功能 还使用C语言设计了该等精度频率计的主控程序以提高测量精度。本设 计实现了对频率变化范围较大的信号进行频率测量,能够满足高速度、高精度的测频要 求。-Introduced, such as the accuracy
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:320759
    • 提供者:zhengwei
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. FTEST2

    0下载:
  2. Verilog语言,等精度频率计/测脉冲宽/测占空比-Verilog language, and other precision frequency meter/measuring pulse width/measured duty cycle
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1845050
    • 提供者:毛欣
  1. Frequency-meter

    0下载:
  2. 用Verilog语言编写的频率计,可以精确到1Hz-Frequency counter with the Verilog language, can be accurate to 1Hz
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:13382
    • 提供者:李炜
  1. frequency

    0下载:
  2. 用verilog编写的频率计项目,能够自动换量程-Written in verilog frequency meter project, to wrap range
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-10
    • 文件大小:614830
    • 提供者:huang
  1. PLJ

    0下载:
  2. 频率计 verilog语言编写 有三级量程可供选择-Frequency meter
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:618908
    • 提供者:chenguanda
  1. pinlvji

    0下载:
  2. 一个用verilog编写的数字频率计,利用FPGA实现计数功能,其中使用的测周法。-A written with verilog digital frequency meter, use FPGA implementation counting function, wherein the measured circumference method to use.
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:22300
    • 提供者:王哲
  1. Frequency

    0下载:
  2. 实现频率计基于verilog语言,基于basys2板子。数码管显示。外部输入信号。-frequency countting based on verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5760
    • 提供者:myname
  1. frequency-meter---DEII

    0下载:
  2. verilog写的频率计 ,在数码管上显示10进制输入数字信号的频率。已在DEII上验证- verilog write frequency counter, decimal display frequency of the input digital signal in the digital tube. Verified on DEII
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:271948
    • 提供者:孔沛瑶
  1. pinglvji

    0下载:
  2. Verilog HDL 实现频率计,数码管显示1~9999Hz 开发环境ISE14.7-Verilog HDL frequency meter, digital tube display 1~9999Hz Development environment ISE14.7
  3. 所属分类:Other systems

    • 发布日期:2017-12-20
    • 文件大小:3815424
    • 提供者:王春城
  1. fdiv0_256_14

    0下载:
  2. 利用Verilog HDL制作一个数控频率计,0~256可控(Use Verilog HDL to make a CNC frequency meter, 0~256 controllable)
  3. 所属分类:其他

  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
搜珍网 www.dssz.com