CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序 搜索资源 - 出租车计费

搜索资源列表

  1. taxibillingsystem

    0下载:
  2. VHDL语言设计的出租车计费系统,在老师的指导下完成的,并在实验箱上测试通过。-VHDL language design taxi billing system, completed under the guidance of their teachers, and the experiment on the test box.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1327
    • 提供者:zhangliang
  1. taxi

    0下载:
  2. 出租车系统的VHDL实现,包含了,计费,计时,超时自动收费,调整速度等等功能-Taxi system, VHDL implementation, contains, billing, time, overtime pay automatically adjust the speed, and so functional ... ...
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:524919
    • 提供者:张飞
  1. CHUZUCHE

    0下载:
  2. 出租车计费系统VHDL 基于FPGA的出租车计费系统-VHDL FPGA-based billing systems Taxi Taxi Accounting System
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:4203
    • 提供者:yoyo
  1. taxi

    0下载:
  2. 基于maxplus2的出租车计价器设计有行走和停止两种计费状态-failed to translate
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:58470
    • 提供者:lmk
  1. chuzucheFPGA

    0下载:
  2. 设计一个出租车计价器,主要功能: (1)当出租车启动时,开始记里程数,开始计费,其实费用为7.0。 (2)当出租车等待时,不计数和不收取费用。 (3)当出租车停止时,不进行任何计数和收取费用。 -Design a taxi meter, main functions: (1) When the taxi starts, starts counting mileage, start accounting, in fact, the cost of 7.0. (2) When t
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:5283
    • 提供者:卓青燕
  1. car

    0下载:
  2. 运用Verilog语言,用quartus及niosII的的开发环境,实现了出租车计费器的设计-Using Verilog language, using quartus and niosII the development environment, to achieve a taxi meter design
  3. 所属分类:Other systems

    • 发布日期:2017-05-31
    • 文件大小:13818739
    • 提供者:涂丽芳
  1. taxi_eda

    0下载:
  2. 出租车计费EDA设计程序,亲自仿真实现的。-The procedure of taxi charging,simulation in person
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4680921
    • 提供者:dtq
  1. taxi

    0下载:
  2. 出租车计费系统,在SOPC硬件环境下可实现代码-Taxi billing system in SOPC hardware environment can be achieved Codes
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-27
    • 文件大小:9609313
    • 提供者:王轲
  1. chuzuchejifei

    0下载:
  2. 出租车计费 1)实现计费功能 2)计费标准:按行驶里程计费,起步价为6.00元,并在3km后按1.20元/km计费,当计费器达到超过20元时,每公里加收50 的车费,车停止时和暂停时不计费。 3)现场模拟功能 能模拟汽车启动,停车及暂停和换挡等状态。- Taxi stand, mileage billing 1 ) realization of billing function 2 ) billing standard: bsed on mileage bil
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-15
    • 文件大小:11264
    • 提供者:王甜
  1. VHDLjijiaqi

    0下载:
  2. 基于VHDL的出租车计价方式的计价器设计,包括暂停、起步功能,能够暂停计费和行驶计费,可修改计价方式,可在FPGA开发板上下载验证-Taximeter based on VHDL design, including pause, start function, to suspend billing and driving billing, you can modify the valuation method, you can download the verification board on
  3. 所属分类:Other systems

    • 发布日期:2017-12-15
    • 文件大小:2252800
    • 提供者:张钰竹
搜珍网 www.dssz.com