CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序 搜索资源 - FPGA LCD

搜索资源列表

  1. LCD.基于FPGA的LCD1602驱动

    1下载:
  2. 基于FPGA的LCD1602驱动,verilog代码,已经调试成功,LCD1602-driven FPGA-based, verilog code debugging has been successful
  3. 所属分类:其他小程序

    • 发布日期:2016-11-08
    • 文件大小:1105323
    • 提供者:liang ming
  1. core8051_lcd1602

    1下载:
  2. 基于Fusion系列AFS600的FPGA的51核,在板子上试过,可以对51核写1602的显示程序,就可以显示了,也可以写其他的51程序。-AFS600-based Fusion Series of FPGA 51 nuclear, tried on the board, you can write 1602 on 51 of the display of the nuclear program, we can show that we can process other 51.
  3. 所属分类:Other systems

    • 发布日期:2014-09-19
    • 文件大小:14685112
    • 提供者:冰鸟
  1. LCM

    0下载:
  2. Stn8060d_2彩色液晶显示控制器使用说明,课实现单片机或FPGA控制。-Color LCD controller Stn8060d_2 the use of that class to achieve control of single-chip or FPGA.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:8801
    • 提供者:喜相逢
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. lcd

    0下载:
  2. 键盘控制LCD显示电流与电压,并可以与FPGA进行通信-Keyboard to control current and voltage LCD display and can communicate with the FPGA
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:2090
    • 提供者:王亮
  1. FPGALcd1602

    0下载:
  2. lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:3216
    • 提供者:zrc
  1. LCD128FPGA

    0下载:
  2. 用FPGA来控制SMG12864液晶,用并口来控制.FPGA采用EPC144cycloneII,采用ST7920控制器的LCD128*64.-Using FPGA to control SMG12864 LCD with parallel port to control. FPGA using EPC144cycloneII, using the ST7920 controller LCD128* 64.
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4876037
    • 提供者:李恺君
  1. cycloneIII_3c120_dev_niosII_standard

    0下载:
  2. 该源码是关于FPGA片上系统sopc的nios处理器设计,他实现了led,lcd以及Internet网络各种功能,源码已经测试通过,读者可以使用-The source is on the FPGA chip on the system sopc the nios processor design, he realized the led, lcd, and Internet networking features, source code has been tested, the reader
  3. 所属分类:Other systems

    • 发布日期:2017-06-22
    • 文件大小:39700451
    • 提供者:雪晨
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. FPGA-LCD

    0下载:
  2. 基于FPGA图形字符加速的液晶显示模块,12864LCD显示文字,图形-Display text, graphics, based on FPGA accelerated graphics characters LCD display module, 12864LCD
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:296496
    • 提供者:郭加园
  1. FPGA1602LCD

    0下载:
  2. FPGA实验、1602字符型LCD控制dl2c58c_v3 -FPGA experiments, 1602 character LCD control dl2c58c_v3 of
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:406589
    • 提供者:sb
  1. LCD

    0下载:
  2. lcd duogngcheng显示秒表ing ,-fpga control lcd to display
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:572725
    • 提供者:blue
  1. LCD_Display

    0下载:
  2. the code displays the ascii array of data to a character LCD. the code is written in vhdl and tested on an FPGA device
  3. 所属分类:Other systems

    • 发布日期:2017-12-06
    • 文件大小:2844
    • 提供者:shahzad
  1. FPGA-LCD

    0下载:
  2. 关于FPGA针对LCD资源配置,及相关电路层次关系-LCD FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:205160
    • 提供者:刘楠
  1. lcd

    0下载:
  2. 基于fpga的lcd1602的verilog程序代码,可实现移动显示数字和英文字母-Verilog fpga lcd1602 the program code, mobile numbers and letters
  3. 所属分类:Other systems

    • 发布日期:2017-11-03
    • 文件大小:13033218
    • 提供者:徐俊龙
  1. lcd

    0下载:
  2. FPGA开发环境 利用verilog驱动LCD1602 用LCD1602显示英文 开发板学习资料 -failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:375309
    • 提供者:冉青
  1. lcd-with-spartan-3an-fpga

    0下载:
  2. This rar file contains the instruction and verilog codes for interfacing spartan3AN with LCD display.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:504381
    • 提供者:AT
  1. LCD

    0下载:
  2. control lcd whit FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-12-20
    • 文件大小:640000
    • 提供者:fipm
  1. lcdzmxs

    1下载:
  2. LCD显示,主要用于通过FPGA平台,verilog语言点亮LCD(LCD driver by verilog)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:421888
    • 提供者:iceyoung
  1. keyscan1602

    1下载:
  2. 基于FPGA的4*4矩阵键盘输入,1602液晶屏显示,以及四个LED灯输出。(Based on the 4*4 matrix keyboard input of the FPGA, 1602 LCD screen display, and four LED lights output.)
  3. 所属分类:其他

    • 发布日期:2020-06-15
    • 文件大小:1377280
    • 提供者:tomasliu
« 12 »
搜珍网 www.dssz.com