CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - proteus

搜索资源列表

  1. Proteus-8051--examples

    0下载:
  2. 基于Proteus的8051单片机实例教程一书的例程,共22个,请放心使用-Course of Proteus 8051 singlechip s examples
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2200731
    • 提供者:刘涛
  1. LCD1602-DS18B20-ds1302-proteus

    0下载:
  2. 用lcd1602液晶显示温度DS18B20和时钟DS1302程序,且用proteus仿真测试。-lcd1602 ds18b20 ds1302 proteus
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:138429
    • 提供者:andrew
  1. proteus 新增元件库下载

    1下载:
  2. proteus最新元件库下载,增加元件库种类(Proteus latest component library download, add component library type)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:3050496
    • 提供者:凌波微步
  1. proteus中51单片机控制交通灯的仿真及论文

    1下载:
  2. proteus中51单片机控制交通灯的仿真及论文,包括proteus仿真和keil代码,以及全部报告(Proteus 51 singlechip traffic lights control simulation and papers, including proteus simulation and keil code, and all reports)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-23
    • 文件大小:218112
    • 提供者:JY2
  1. proteus arduino library

    1下载:
  2. proteus的arduino开发元件库,含有arduino模块,gps模块,gsm模块,能够很好模拟arduino开发(this is a library for proteus ,you can simulate arduino use this)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-01-08
    • 文件大小:6361088
    • 提供者:meanwhile
  1. Proteus平台8086的交通灯汇编语言实现

    0下载:
  2. 基于proteus平台,采用8086处理器,利用汇编语言编写,可作为微机原理课程设计。设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。若有紧急情况(救护车通过),申请中断,四个方向全部红灯,10秒后重新开始。(进一步设计,可以利用实验箱上的多位数码管显示两个方向的倒计时,或LED点阵显示停、行汉字或动画)(Based on the Proteus platform, using 8086 processors
  3. 所属分类:硬件设计

    • 发布日期:2018-01-10
    • 文件大小:356352
    • 提供者:ykwananxn
  1. proteus

    0下载:
  2. Prtoteus破解版Proteus 7.10专业版 2012发布 .exe(Prtoteus Cracked version Proteus 7.10 Professional Edition 2012 release.Exe)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-19
    • 文件大小:104504320
    • 提供者:www1255
  1. 0060、数控直流稳压电源proteus仿真+程序资料

    2下载:
  2. 数控直流稳压电源proteus仿真+程序资料(numerical control DC regulated power supply proteus simulation + program data)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-20
    • 文件大小:78848
    • 提供者:mueder1983
  1. LED数码管数码表+proteus元件库对照

    0下载:
  2. Proteus中元件选择的关键字对照表,根据你要选择的元件,直接输入关键字即可。(Keyword of selected element in proteus.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-02
    • 文件大小:3072
    • 提供者:琦琦哥
  1. GPS Library for Proteus

    0下载:
  2. GPS Library for Proteus
  3. 所属分类:单片机开发

    • 发布日期:2018-04-29
    • 文件大小:5120
    • 提供者:stuer
  1. proteus

    0下载:
  2. 1.proteus 仿真功能 2.有贪吃蛇功能按键控制移动 3.俄罗斯方块游戏功能 4.万年历 实时显示当前年月日时间(1.proteus simulation function 2. have the snake function button to control the movement 3. Tetris game function 4. calendar shows the current year and month in real time)
  3. 所属分类:单片机开发

  1. Proteus程序1

    0下载:
  2. 使用Proteus程序,P1口做输入口,接八个开关,以74LS273做输出口编写程序读取开关状态,在发光二极管上显示出来。(Using the Proteus program, the P1 port is the input port, and then eight switches are connected. The program reads the switch state with the 74LS273 as the output port and displays it on t
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:54272
    • 提供者:苏辛雨
  1. proteus仿真大全1

    0下载:
  2. 关于Proteus仿真实例,包括LCD1602,继电器等(About Proteus simulation examples, including LCD1602, relays, etc.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-06
    • 文件大小:1533952
    • 提供者:sunlight0
  1. Proteus 仿真MSP430实例14例(带源码)

    1下载:
  2. proteus仿真MSP430,附带例程与源码(Incidental routines and source code)
  3. 所属分类:单片机开发

    • 发布日期:2020-11-03
    • 文件大小:1305600
    • 提供者:无昱
  1. proteus 的8086 8255仿真

    7下载:
  2. 基于Proteus的8086与8255仿真实验 (1)基本输入输出实验。编写程序,使8255 的A口为输入,B口为输出,完成拨动开关到数据灯的数据传输。要求只要开关拨动,数据灯的显示就发生相应改变。 (2)流水灯显示实验。编写程序,使8255 的A口和B口均为输出,数据灯D7~D0由左向右,每次仅亮一个灯,循环显示,D15~D8与D7~D0 正相反,由右向左,每次仅点亮一个灯,循环显示。(Simulation experiments of 8086 and 8255 based on Pro
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-10-18
    • 文件大小:135168
    • 提供者:rensoul
  1. 51单片机230个Proteus仿真实例

    1下载:
  2. 基础练习,都是单片机Proteus的,简单,全面,有用(Some simple practise)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2019-10-21
    • 文件大小:3067956
    • 提供者:一款尸体
  1. Proteus STM32 led

    1下载:
  2. 在实现流水灯闪烁在proteus8.6使用的单片机是STM32F103R6(realize led SCM STM32F103R6 is used in Proteus 8.6 to realize pipeline lamp flickering.)
  3. 所属分类:单片机开发

    • 发布日期:2021-04-20
    • 文件大小:66560
    • 提供者:667766
  1. proteus原理图

    1下载:
  2. 实现八路抢答功能;LED数码管显示;proteus原理图(Realize the eight-way rush answering function;LED digital tube display;Proteus schematic diagram)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-12-18
    • 文件大小:163840
    • 提供者:is_wxf
  1. ili9340 proteus + Keil

    5下载:
  2. Proteus里面仿真ili9341(for proteus)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-01-31
    • 文件大小:1481728
    • 提供者:Mrdaren
  1. 温度监控Proteus仿真图 完整程序

    1下载:
  2. 温度监控系统,protues仿真实现,有报警功能(Temperature monitoring system, realized by Proteus simulation, with alarm function)
  3. 所属分类:单片机开发

    • 发布日期:2020-06-07
    • 文件大小:102400
    • 提供者:chenshengy
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com