CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - rle

搜索资源列表

  1. run_length_coding

    1下载:
  2. 用verilog 编写 应用于图像压缩编码中 使用行程长度编码(run lengthencoding,RLE)对交流系数(Aa)进行编码。-using Verilog prepared for image compression coding using length encoding (run leng thencoding, RLE) on the exchange coefficient (Aa) coding.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9482
    • 提供者:周信均
  1. rle

    0下载:
  2. 用于FPGA的变长编码算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4581
    • 提供者:caesar
  1. Huffman

    0下载:
  2. 用于视频运动图像编码的HUFFMAN编码,可广泛运用于MPEG-Moving Picture for video coding Huffman coding, can be widely applied to MPEG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1569235
    • 提供者:zs
  1. DE2_NET

    0下载:
  2. document is waveform file testing for any RLE encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2842
    • 提供者:ren
  1. fcrrle

    0下载:
  2. fullwave controlled rectifier with Rle load
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:8183
    • 提供者:murali
  1. urunn_length_s

    0下载:
  2. <p>用verilog 开发应用于图像压缩编码中使用行程长度编码(run lengthencoding,RLE)对交流系数(Aa)进行编码。</p> -<p> With verilog development for image compression using run length encoding (run lengthencoding, RLE) coding to encode the exchange coefficient (Aa). <
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:9379
    • 提供者:举例
搜珍网 www.dssz.com