CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vc 控制

搜索资源列表

  1. VC++_MCU

    0下载:
  2. 用VC++6.0与单片机通过RS232通信程序,上位机程序主要是控制单片机发出一些指令,单片机上传一些数据到上位机,上位机通过这些数据作出决策,再发送指令到单片机。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:63792
    • 提供者:王亮
  1. VC++

    1下载:
  2. 本设计提供了通过VC和上位机软件来控制步进电机的方案
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:160648
    • 提供者:chen
  1. Tini 的VC原代码

    0下载:
  2. TINI平台可提供设计IP网络继电器所 需的TCP/IP栈和本地控制,Java运行环境可以大大降低设计-TINI platform will provide IP network design needed to relay the TCP / IP stack and local control, the Java runtime environment can greatly reduce design
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1635
    • 提供者:吕杰
  1. USBCOMTEST.rar

    1下载:
  2. USB CDC 通信实例 单片机、VC源码 硬件平台:AT91SAM7X256EK VC测试程序可以通过USB与单片机通讯并控制开发板上的LED 包括:单片机程序,开发环境 Keil VC测试程序,开发环境 VC2008 驱动, 基于LibUsb的驱动 ,USB CDC Communicaion example and driver with source code of Keil and VC Hardware: AT91SAM7
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:542312
    • 提供者:Ada yu
  1. dsp

    1下载:
  2. API控制 2.0,这是一个封装了500多个Windows API的控件,覆盖了网络、系统、界面、多媒体、图形、文字等各个方面,提供了外接的80个左右的方法使您能更快速有效的写出功能强大的程序.适用于VB、VC、Delphi、Cbulider等各类可以使用ActiveX控件的编程环境-API Control 2.0, which is a package of more than 500 Windows API control, covering the network, system, int
  3. 所属分类:DSP program

    • 发布日期:2017-11-08
    • 文件大小:42543
    • 提供者:站长
  1. sgdsg

    0下载:
  2. 运动控制卡VC源码,四轴控制,用于机械手的设计.-Motion control card VC-source, Four-axis control, for robot design.
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:322641
    • 提供者:riyygy
  1. fuzzypiddefuzzybasedVC6.0

    1下载:
  2. 利用VC++,实现模糊控制中的解模糊,包括模糊化,解模糊全部的程序代码,值得一看.-Using VC++, realize the solution of fuzzy control fuzzy, including fuzzification, fuzzy solution procedures for all code, worth a visit.
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:8308
    • 提供者:高志安
  1. 11

    0下载:
  2. 、实验目的 1、通过编程熟悉VC++的Win32 Console Application的编程环境; 2、通过编程熟悉PCI-1711数据采集卡的数据输入输出; 3、掌握PID控制器的编程方法; 4、了解闭环控制系统的概念与控制方法; 5、熟悉定时器及显示界面的使用方法; 二、实验设备 1.THBDC-1型 控制理论• 计算机控制技术实验平台 2.PCI-1711数据采集卡一块 3.PC机1台(安装软件“VC++”及“THJK_Server”)
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:19816
    • 提供者:吴多富
  1. ParaIICXP0306BACK

    0下载:
  2. VC 控制并口模拟I2C写VGA的EDID的程序,工控软件。-VC control the LPT as i2c communicate portocol, write or read the VGA EDIT.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2015-08-06
    • 文件大小:2943058
    • 提供者:王唯嘉
  1. CH375

    0下载:
  2. 单片机利用CH375芯片与PC机进行通信,从而利用单片机的指令来控制PC机的一些操作-CH375-chip microcontroller used to communicate with the PC, and thus the use of SCM commands to control the PC, some of the operations
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:32574
    • 提供者:wanli
  1. 2812DSPsensor-openloop

    0下载:
  2. 开环VC环境DSP TMS320F2812PID电机控制算法-VC++ DSP TMS320F2812PID open-loop motor control algorithms
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:199033
    • 提供者:peter
  1. AD

    0下载:
  2. vc串口控制单片机实现A/D转换和温度测量-vc serial control MCU A/D conversion and temperature measurement
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:275514
    • 提供者:吴晓伟
  1. yuntai

    0下载:
  2. 云台的控制代码,完整的VC控制,很有价值,分享-PTZ control code, full VC control, great value, share
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-01
    • 文件大小:186511
    • 提供者:回眸
  1. VC

    0下载:
  2. 语音控制,使凌阳61A型单片机执行各种有趣的指令-voice control
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1854
    • 提供者:周宏发
  1. motor

    2下载:
  2. 电动机控制上位机监控程序,vc界面,通过串口监视电机电压电流转速-Motor Control PC monitoring program, vc interface monitors the motor voltage and current through the serial port speed
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-23
    • 文件大小:70469
    • 提供者:田德文
  1. CSimpleApp_pmac

    0下载:
  2. PMAC运动控制卡的vc控制,PMAC运动控制卡的vc控制-Vc PMAC motion control card controls, PMAC motion control card vc control
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-24
    • 文件大小:8008680
    • 提供者:chenzhen
  1. LEDControl20091005

    0下载:
  2. 基于STC单片机的LED显示屏控制系统,包括单片机端的程序及上位机程序。单片机端采用C语言实现,完成在显示屏显示各种信息。显示屏为双色32*64单元板,可扩展多块。上位机采用VC开发串口通信,实习显示节目的下载及相关通信。-STC microcontroller based LED display control system, including the microcontroller and the PC side of the program procedures. Microcontro
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:77896
    • 提供者:陶宇
  1. wendu

    0下载:
  2. 步进电机和直流电机远程控制,VC示范程序,可以用于工业控制-Stepper motors and DC motors remote control, VC demonstration program can be used for industrial control
  3. 所属分类:DSP program

    • 发布日期:2017-05-15
    • 文件大小:3616863
    • 提供者:晚饭
  1. VC

    0下载:
  2. 8920运动控制卡主程序,主要用于伺服电动机的简单控制-8920 motion control card, the main program, mainly for simple control servo motor
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:235795
    • 提供者:李煜
  1. Motion-Control-based-on-VC

    1下载:
  2. 本程序实现了基于众为兴控制板卡的电机控制,对于初步涉入控制领域的人有一定的帮助-Realized by the control board based Adtech motor control, control for initial involvement in the field have some help
  3. 所属分类:DSP program

    • 发布日期:2016-02-22
    • 文件大小:3260416
    • 提供者:scc
« 12 3 4 5 6 »
搜珍网 www.dssz.com