CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 微处理器(ARM/PowerPC等) 搜索资源 - cpu设计

搜索资源列表

  1. RISC_Core.ZIP

    0下载:
  2. 这是一篇关于8位RISC CPU设计的文章,其中包含了用Verilog语言编写的CPU内核程序
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:340784
    • 提供者:jinzhoulang
  1. 06070207

    0下载:
  2. 计算机组成原理 课程设计 8位简单CPU-Principles of curriculum design computers 8 simple CPU
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1438538
    • 提供者:刘旭
  1. jamcpu

    0下载:
  2. jam CPU模拟器的设计与实现.其中包含设计文档-jam CPU Simulator Design and Implementation. which includes design documents
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:105072
    • 提供者:刘海洋
  1. CPU

    0下载:
  2. CPU 设计,不错的哦,顶一下哈,希望大家都弄成免费的-CPU design, good Oh, the top click Kazakhstan, I hope we all have to face free
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-10
    • 文件大小:2110903
    • 提供者:kukuyeyewa
  1. mipscpudesign

    0下载:
  2. cpu设计实例mips。MIPSI指令集32位CPU (1)MiniCore设计实例全32位操作,32个32位通用寄存器,所有指令和地址全为32位 (2)静态流水线(3~5级) (3)Forwarding技术 (4)片内L1 Cache,指令、数据各4KByte,硬件初始化 (5)没有TLB,但系统控制协处理器(CP0)具有除页面映射外的全部功能 -cpu design example mips. MIPSI instruction set 32-bit CPU (1)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:27938
    • 提供者:游笑
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. 16-bit_cpu_design

    0下载:
  2. 详细介绍了如何设计一个简单的16位cpu.其中包含了从最基础的指令系统开始到最复杂的cu控制器的设计思路,方案.最后还介绍了一些有关vhdl语言的用法,并给出了具体的cpu部件的vhdl代码,从而帮助大家更为深刻的学习如何设计一个简单的cpu-Described in detail how to design a simple 16-bit cpu. Which contains the most basic instruction from the beginning to the most
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-06
    • 文件大小:1051306
    • 提供者:罗高
  1. CPU-tool-chain-design

    0下载:
  2. 摘要:EDA技术的成熟和进步,缩短了微处理器硬件设计和综合的周期。同时,开发工具链设计的自动化,已成了高效率、高质量嵌入式微处理器设计的重要内容。本文提出了采用体系结构描述语言(ADL)实现微处理器开发工具链自动设计的有效方法。针对ADL描述流水线的局限性,进行了扩展改进,因而使改进后的ADL能用来直接描述流水线。新方法在CK幸CORE开发工具链设计中的应用表明,比用GNU工具链功效有了显著提高。-Abstract: EDA technologies mature and progress, r
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:392285
    • 提供者:李立
  1. lab06

    0下载:
  2. 流水线CPU设计,最接近真实运行的学生实验课的CPU设计,是组成原理实验课大作业,包涵详细讲解-CPU design
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-15
    • 文件大小:3732587
    • 提供者:徐福建
  1. VHDL-cpu

    0下载:
  2. 根据计算机组成原理课程所学的知识和本课程所讲的设计思想,设计一个给定指令系统的处理器,包括:VHDL语言的实现;FPFA芯片的编程实现; -Based on the knowledge and the curriculum computer architecture course learn about design thinking, design a given the instruction system' s processor, including: the realizat
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-17
    • 文件大小:18584
    • 提供者:heyuhong
  1. MiniCPU

    0下载:
  2. 16位 迷你CPU 设计, 包含 20条指令和TEST BENCH-16 mini CPU design.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-28
    • 文件大小:378095
    • 提供者:QINZ
  1. RISC_CPU

    0下载:
  2. 一个32位流水线 CPU 设计, 含设计文档和模拟图。-A 32-bit pipelined CPU design, including design documentation and simulation in Fig.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-19
    • 文件大小:4872813
    • 提供者:QINZ
  1. cpu

    0下载:
  2. 《vhdl编程实例》(第四版)内的cup设计源代码 -Cup design source code " vhdl programming examples" (fourth edition)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-29
    • 文件大小:185215
    • 提供者:
  1. MIPS-and-CPU-design-and-simulation

    1下载:
  2. 兼容MIPS指令集的CPU设计与仿真 处理器架构为多周期,指令用32为字长(取指占一个周期),4k的存储器(指令存储器和数据存储器分开),IO与存储器统一编制,能支持20条指令以上-MIPS instruction set compatible CPU design and simulation
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-10
    • 文件大小:2339900
    • 提供者:王刚
  1. multi-CPU

    0下载:
  2. 多时钟CPU设计,spartan 3e板上试验通过,支持部分mips指令,内含示例mips代码及二进制文件-Multiple CPU clock design, spartan 3e board test passed, support some mips instruction, containing sample code and binary files mips
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-24
    • 文件大小:14329
    • 提供者:Chan Cheng
  1. CPU

    0下载:
  2. 组成原理课设,简单CPU微处理器指令系统设计。-CPU design
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:306443
    • 提供者:susie
  1. OpenMIPS_VerilogHDL_Study_v1.1

    0下载:
  2. 10天用verilog实现MIPS_cpu,内有清晰结构图。很好的cpu设计学习资料!-10 days with verilog achieve MIPS_cpu, within a clear structure diagram. Good cpu design learning materials!
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:440728
    • 提供者:zyy
  1. Nios_II-CPU

    0下载:
  2. nios 处理器嵌入式系统设计,介绍了nios ii处理器的基本特点以及构建一个最小的嵌入式系统hello_world-NIOS CPU embedded system
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-04
    • 文件大小:1270166
    • 提供者:遥真
  1. cpu-7-verilog

    0下载:
  2. 多周期cpu设计asadsdddasd-multi cpu design
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-12
    • 文件大小:1427
    • 提供者:李杰
  1. CPU

    0下载:
  2. 简单的CPU设计,使用VHDL 和 quartus ii 设计的cpu(a simply cpu design, vhdl quartus ii ,dsg gs h srh rsh rsh srjh srh)
  3. 所属分类:微处理器开发

    • 发布日期:2017-12-25
    • 文件大小:1488896
    • 提供者:fgsdgsdg
« 12 »
搜珍网 www.dssz.com