CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 搜索资源 - ad

搜索资源列表

  1. y551642zye

    0下载:
  2. Research on some key technologies of QoS provisioning for mobile AD-HOC networks
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:9706
    • 提供者:孙燕
  1. cs1240_demo(c)

    0下载:
  2. 89c52 于深圳芯海科技有限公司的高精度24位ad 芯片cs1240 的通讯源码-89C52 core sea on the Shenzhen High Precision Technology Ltd. 24 ad communications chip cs1240 FOSS
  3. 所属分类:家庭/个人应用

    • 发布日期:2008-10-13
    • 文件大小:13849
    • 提供者:LOVEYOU
  1. ad2812

    0下载:
  2. f2812 的ad示例程序,对大家用2812有一定的帮助,:)-f2812 the ad sample program, we used to have some 2,812 help :)
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:122824
    • 提供者:wangliq
  1. nist_aodv2

    0下载:
  2. opnet ad hoc adov routing-opnet ad hoc routing adov
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:566086
    • 提供者:bbb
  1. dspadpwm

    0下载:
  2. 此为dsptms320lf2407的AD采样及产生pWM的程序,对初学者有一定帮助-this as dsptms320lf2407 AD pWM sampling and production procedures, to a certain extent, help beginners
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:44918
    • 提供者:李涛
  1. ADcard

    0下载:
  2. 研华AD板卡通过visual c++实现控制,多线程-Advantech AD Card through visual c achieve control, multithreading
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:124582
    • 提供者:
  1. DSP2812AD-caiyangchengxu

    0下载:
  2. 针对流行的TMS320C2812芯片进行编程,实现了AD采样,程序简单易懂-against popular TMS320C2812 chip programming, the AD sampling procedures are simple
  3. 所属分类:交通/航空行业

    • 发布日期:2008-10-13
    • 文件大小:96175
    • 提供者:钱三平
  1. DSP2812ADzhuanhua

    0下载:
  2. 详细讲叙了DSP2812的AD转化,程序直接可应用-detailed spoke of the DSP2812 AD conversion can be applied directly
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:61749
    • 提供者:钱三平
  1. Src051209

    0下载:
  2. 手机充电器的电路板的测试机架的测试程序,利用AVR的AD转换器测试各点的电压.
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:6517
    • 提供者:陈昌文
  1. dsp的AD 转换

    0下载:
  2. 我想用级联模式工作,用EVB的T4在周期中断自启动AD开始转化,转化完成在AD中断服务子程序中读取结果,但是程序总是能看到T4周期中断标志置位,但运行不到AD中断(我在AD中断程序第一条指令设置的断点)。下面是程序,请指教下!
  3. 所属分类:行业应用软件

    • 发布日期:2009-11-21
    • 文件大小:1923
    • 提供者:wqxun123
  1. CPLD

    0下载:
  2. ad采集的小模块,实现串口转并口的功能,串口是SPI的接口-ad collector modoudle ad ad ad ad ad da da da da shuzi moni moni shuzi caiji caiji caiji caiji caiji caiji caiji
  3. 所属分类:Applications

    • 发布日期:2017-04-04
    • 文件大小:33266
    • 提供者:ninglige
  1. AD

    0下载:
  2. pic18f485的AD采样程序,通过实验板通过-pic18f485 the AD sampling procedures, through the adoption of the experimental plate
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:15059
    • 提供者:vteypm
  1. i2ctestmaster

    0下载:
  2. pic单片机作为I2C从机的通讯程序,c语言实现,包括串口通讯,AD检测,定时器应用等,是检测电力应用中CT的源码-Single-chip I2C as a pic of the communication process from the machine, c language, including serial communication, AD detection, timer application, the application of testing the power of the
  3. 所属分类:Energy industry

    • 发布日期:2017-04-01
    • 文件大小:4498
    • 提供者:chaodong
  1. AD

    0下载:
  2. 关于AD噪声性能分析的几个程序,这个是在国外论坛上下的-AD-noise performance analysis on several programs, this is from top to bottom in foreign forums
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-30
    • 文件大小:85597
    • 提供者:王想
  1. ad

    0下载:
  2. 利用2812控制AD转换的一个程序!仅供参考其编程思想!-2812 AD conversion using a process control! Only reference to his programming ideas!
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-01
    • 文件大小:282100
    • 提供者:王霄宽
  1. AD-8951

    0下载:
  2. 用8951编的AD转换程序, 有peoteus的电路模拟图,-ad tranfter
  3. 所属分类:Home Personal application

    • 发布日期:2017-11-20
    • 文件大小:108495
    • 提供者:王一畅
  1. omap-l138--ad

    1下载:
  2. omap-l138的AD转换的裸机程序,AD转换器为ADS8556-bare metal program omap-l138 AD converter AD converter ADS8556
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:11005
    • 提供者:李承
  1. AD-TLC2543-for-MSP430f1611

    0下载:
  2. TLC2543驱动源码 12位AD TI芯片-TLC2543 driver source code 12 AD TI chip
  3. 所属分类:Home Personal application

    • 发布日期:2017-05-04
    • 文件大小:1059664
    • 提供者:qikaifeng
  1. MATLAB计算DNL和INL

    3下载:
  2. MATLAB计算AD指标DNL等的程序,可参考(MATLAB calculation, AD indicators, DNL and other procedures, you can refer to)
  3. 所属分类:*行业应用

    • 发布日期:2017-12-25
    • 文件大小:1024
    • 提供者:舟行川上
  1. AD原件库

    0下载:
  2. 自己做的AD原理图和封装库,都是最新的希望对大家有用。
  3. 所属分类:电子政务应用

« 12 3 4 5 »
搜珍网 www.dssz.com