CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 搜索资源 - driver

搜索资源列表

  1. hwyk

    0下载:
  2. 红外遥控解码完全资料:1.红外遥控编码并用单片机捕捉信号及解码2.LCD1602的驱动-Infrared remote control decoding complete information: 1. Infrared remote control codes and signals used to capture and decode single chip driver 2.LCD1602
  3. 所属分类:Applications

    • 发布日期:2017-05-01
    • 文件大小:8565
    • 提供者:shi735712
  1. msCAN-Driver-S12G

    0下载:
  2. mc9s12G单片机CAN模块的驱动程序,主要用于CAN通信部分。-mc9s12G microcontroller CAN module drivers, mainly for the CAN communication section.
  3. 所属分类:transportation applications

    • 发布日期:2017-05-21
    • 文件大小:5720478
    • 提供者:Etan Tang
  1. STM32-for-motor-control-driver

    1下载:
  2. 添加stm32f411xe设备支持. 提高霍尔延迟与时间基本实现,添加一个新的宏观__hal_gpio_exti_generate_swit()管理软件生成选定的完全中断,功能重组更新,取决于每个STM32F4设备支持的功能.在l_pwr_enterstandbymode()不清楚唤醒旗(WUF),它需要被清除的应用水平之前调用这个函数.添加新的宏控制CTS和RTS。 -Add support of STM32F411xE devices Enhance HAL delay and
  3. 所属分类:Energy industry

    • 发布日期:2017-05-18
    • 文件大小:4669250
    • 提供者:张海蓝
  1. Spredtrum-Drivers-LATEST

    0下载:
  2. driver that you can use for android operating system so you should download it.
  3. 所属分类:Other systems

    • 发布日期:2017-06-10
    • 文件大小:16758020
    • 提供者:taryana
  1. topwin3x

    0下载:
  2. topwin2005 老式编程器驱动程序,由于老不好找,-topwin2005 old programmer driver, because the old hard to find, thank you
  3. 所属分类:Other systems

    • 发布日期:2017-05-20
    • 文件大小:5415633
    • 提供者:zz
  1. PXEDOS

    0下载:
  2. PXE启动DOS,DOS自动加载网卡驱动访问网络- PXE boot DOS, DOS automatically load the NIC driver to access the network
  3. 所属分类:Other systems

    • 发布日期:2017-06-14
    • 文件大小:21918227
    • 提供者:王仕
  1. Drivers

    0下载:
  2. 一个模拟了SCADA系统的数据采集的驱动代码 已经测试成功使用-A simulated driver code data acquisition SCADA system has been successfully tested using
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:91144
    • 提供者:fyp
  1. Project1

    0下载:
  2. LED显示,颜色改变,色彩效果的驱动程序。-LED display, color change, color effects of the driver.
  3. 所属分类:Home Personal application

    • 发布日期:2017-04-12
    • 文件大小:1516
    • 提供者:zhangzhonghui
  1. LCD12864

    0下载:
  2. 基于MSP430F149的LCD12864的驱动程序-Based on MSP430F149 LCD12864 driver
  3. 所属分类:Home Personal application

    • 发布日期:2017-05-04
    • 文件大小:27640
    • 提供者:李咏
  1. S680v2.3

    0下载:
  2. S680最新版指纹器驱动,支持一台电脑两个指纹器的切换操作-S680 latest version of the fingerprint device driver to support a computer switching operation of the two fingerprints
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:3709025
    • 提供者:fd
  1. HideDriver_bin

    0下载:
  2. HIDDEN DRIVER APPLICATIONS, JUST DOWNLOAD AND SHARE
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:197056
    • 提供者:pemmy
  1. guijigengzong

    5下载:
  2. 基于模型预测控制的驾驶员模型的轨迹跟踪(CarSim8.02和Matlab联合仿真文件)-Tracking (CarSim8.02 and Matlab co-simulation file) trajectory model predictive control based on driver model
  3. 所属分类:transportation applications

    • 发布日期:2017-05-04
    • 文件大小:18382
    • 提供者:唐金玉
  1. SIM800H_EAT_150304_ECLIPSE

    0下载:
  2. Eclipse EAT SIm800H note: 1.please follow the user guide:SIMCom_SIM800H_EAT_RVCT_Installation_User Manual_V1.01.pdf which is in foler environment_RVCT to install the environment of sim800H EAT. 2.please install the usb driver before you upgrading
  3. 所属分类:Applications

    • 发布日期:2017-06-03
    • 文件大小:15206786
    • 提供者:Dost Shah
  1. 929850707MB1504PRJOK

    0下载:
  2. :pLL-MB1504的c语言驱动程序 51单片机控制 完整版-MB1504 PLL driver of c language SCM 51 full version-pll prj ok vhgvjgcvbj nftyijyhncv fghtgj
  3. 所属分类:Education soft system

    • 发布日期:2017-05-05
    • 文件大小:10851
    • 提供者:屏凤
  1. IGBT

    0下载:
  2. 电压型控制的IGBT不需要栅极驱动电流,但由于栅极输入端有一个大电容,使在驱动时形成一很窄的脉冲栅极驱动电流,且IGBT容量越大,该脉冲电流的峰值越大,例如,200A/1200V的IGBT的开通电流的脉冲峰值约达到1.5A。SKHI驱动器既能承受这种高峰值栅极电流-gate drive current, but because the gate input has a large capacitance, so that the formation of a very narrow gate d
  3. 所属分类:Energy industry

    • 发布日期:2017-05-04
    • 文件大小:11343
    • 提供者:loc
  1. Pendaftaran Siswa Baru

    0下载:
  2. ##======== Komponen ========## 1. MySQL Connector .Net 6.9.9 2. MySQL Connector ODBC 5.3.7 3. Crystal Report for VS 13.0.18 Link: http://downloads.businessobjects.com/akdlm/cr4vs2010/CRforVS_13_0_18.exe 4. XAMPP 7.0.13 ##============================#
  3. 所属分类:其他行业

    • 发布日期:2017-02-27
    • 文件大小:2968660
    • 提供者:dhesta01
  1. Gba

    0下载:
  2. gba串口驱动的代码,结合GBA的串口线,可以启动的时候执行,-Gba serial port driver code, the combination of gba serial line, the execution can be started,
  3. 所属分类:Applications

    • 发布日期:2017-12-17
    • 文件大小:4096
    • 提供者:erdm&173163
  1. sntitys

    0下载:
  2. 主要是对串口驱动的的一些控制源码,很好的学习源码(Mainly some of the control of serial driver source code, very good learning source)
  3. 所属分类:电子政务应用

    • 发布日期:2017-12-20
    • 文件大小:29696
    • 提供者:precedenee
  1. DSJD

    0下载:
  2. 著名的dialogic电话语音卡的java驱动程序,已经验证可用,(Famous dialogic telephone voice card Java driver, has been available,)
  3. 所属分类:电子政务应用

    • 发布日期:2017-12-20
    • 文件大小:7168
    • 提供者:闫晨辉
  1. 步进电机

    1下载:
  2. 步进电机底层驱动,用stm32进行开发与编程(Stepper motor driver, using STM32 for development and programming)
  3. 所属分类:交通/航空行业

    • 发布日期:2017-12-13
    • 文件大小:495333
    • 提供者:胡旺旺
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com