CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 三角波

搜索资源列表

  1. T0832-1

    0下载:
  2. T0832-2.asm D/A转换实验,产生三角波 -T0832-2.asm D/A conversion experiments, resulting in the triangular wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:994
    • 提供者:fangcaohu
  1. wave

    0下载:
  2. 简易信号发生器源程序 1键选择发波类型,1为正弦波,2为三角波,3为方波-Simple signal generator source 1 button to select the wave type of fat, 1 for the sine wave, 2 for the triangular wave, 3 for the square wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1702
    • 提供者:张生
  1. signal

    0下载:
  2. 信号产生labview源文件,产生正弦、方波、三角波等信号-Signal Generator LabVIEW source files, producing sine, square, triangle-wave signal
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:10041
    • 提供者:陈成功
  1. cartie21

    0下载:
  2. 在XLS中实现对FFT原理的演示,包括方波、三角波等DFFT-XLS at implementation of the demonstration of the principle of FFT
  3. 所属分类:MultiLanguage

    • 发布日期:2017-04-06
    • 文件大小:147356
    • 提供者:sun leo
  1. TLC5620

    0下载:
  2. TLC5620 DAC转换实验程序 该实验程序使用TLC5620中A、B、C、D四个通道中的前两个通道分别输出周期相等、幅度均为3.3V的三角波和方波,5620的输出经过LM358双运方的反相跟随后增强了带负载能力 并且使得输出的信号电压位于-3.3V~0V之间,起到了模拟反相的作用。在程序中,RNG位的置位使得输出幅度增加一倍。-TLC5620 DAC conversion of the experimental procedure experimental procedure TLC
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:2947
    • 提供者:
  1. CGENXU

    0下载:
  2. 产生各种周期波形,比如方波正弦波三角波等.-Produce a variety of waveforms
  3. 所属分类:MacOS develop

    • 发布日期:2017-04-04
    • 文件大小:2020
    • 提供者:哥特
  1. Digitalfrequencymeter

    0下载:
  2. 测量范围从1Hz—10kHz的正弦波、方波、三角波,时基宽度为1us,10us,100us,1ms。用单片机实现自动测量功能。-Measurement range from 1Hz-10kHz sine wave, square wave, triangle wave, when the base width of 1us, 10us, 100us, 1ms. Automatic measurement with MCU function.
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:162763
    • 提供者:long233
  1. PCDA

    0下载:
  2. 掌握DAC0832芯片,编程程序实现 :锯齿波,三角波,正弦波
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:627
    • 提供者:wendy1308
  1. boxingfashengqi

    0下载:
  2. 波形发生器: 三角波,矩形波等-Triangular wave, rectangular wave, such as
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1425
    • 提供者:wangyunhanxp
  1. pwm10

    0下载:
  2. 產生三角波來比較pwn輸入訊號,以此產生pwm之波形始需要的硬體設備驅動-Triangular wave generated to compare the input signal pwn as the pwm waveforms generated only need the hardware device driver
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:540
    • 提供者:kevin lie
  1. FunctionGenerator

    0下载:
  2. 设计内容:以STAR ES598PCI单板机,开发能够输出多种波形且频率可变的函数发生器。设计要求: (1)能够输出锯齿波,三角波,正弦波,脉冲波. (2)能根据键盘命令进行波形之间的切换. (3)能对输出的波形频率进行调节。 -Design content: STAR ES598PCI single board, developers can output a variety of waveforms and variable frequency function genera
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:53289
    • 提供者:mike
  1. DA0sine0triangle0trape0zoid0square

    0下载:
  2. 用89C51和DAC0832产生正弦、三角、梯形、方波,用按键选择波形输出。附有protues仿真图,keil源程序(汇编),产生正弦波表格的运用程序。-With the 89C51 and the DAC0832 produce sine, triangle, trapezoid, square, with the key option waveform output. With protues simulation map, keil source code (compilation),
  3. 所属分类:assembly language

    • 发布日期:2017-03-25
    • 文件大小:45087
    • 提供者:罗清伟
  1. JuChiBo

    0下载:
  2. 数/模、模/数转换实验 D/A0832产生三角 三角波经A/D0809转换后在屏幕上输出。-D/A, A/D conversion experiments D/A0832 generate triangle A/D0809 converted by the triangular wave output on the screen.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:1101
    • 提供者:Yang Shuang
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. fazhi

    1下载:
  2. 这是一个将模拟量转换成数字量的VHDL源代码,能够转换正弦波,三角波,方波;通过设定一个阀值,再用比较器比较实现的!-This is an analog quantity into a digital representation of the VHDL source code, to convert sine wave, triangle wave, square wave by setting a threshold, then comparator compares the implem
  3. 所属分类:assembly language

    • 发布日期:2016-01-27
    • 文件大小:480238
    • 提供者:biao
  1. MATLAB

    1下载:
  2. 数字电子琴的功能 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能,界面中包含A、B、…、O共 15 个琴键,鼠标按下时即发声,松开时发声停止。 同时能够产生正弦波、方波、三角波等常见的波形的数字信号,并且提供了图形界面用于选择波形、频率、幅值与相位。能够根据用户指定的波形和参数产生相应的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 -Digital electronic keyboar
  3. 所属分类:操作系统开发

    • 发布日期:2012-12-07
    • 文件大小:231240
    • 提供者:lijinejie
  1. monidianzifanshengqi

    0下载:
  2. 产生方波——三角波——正弦波函数信号发生器-Produce a square wave- Triangle wave- sine function signal generator
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:241265
    • 提供者:汪颖
  1. fitter

    0下载:
  2. 三角波.锯齿波.方波 -三角波.锯齿波.方波三角波.锯齿波.方波三角波.锯齿波.方波
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-09
    • 文件大小:299275
    • 提供者:chenwei
  1. 1

    0下载:
  2. 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。所以本设计使用的是AT89c51单片机构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变。在单片机上加外围器件距阵式键盘,通过键盘控制波形频率的增减以及波形的选择,并用了LCD显示频率大小。在单片机的输出端口接DAC0832进行D/A转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。本设计具
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:1594
    • 提供者:
  1. DATransformationoutputtrianglewave

    0下载:
  2. D/A转换 编制程序 利用0832芯片输出三角波-D/A conversion chip programmed using 0832 triangular wave output
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2920
    • 提供者:Jahgmn
« 1 23 4 5 6 »
搜珍网 www.dssz.com