CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 三角波

搜索资源列表

  1. 18

    0下载:
  2. 汇编语言产生的查表法实现正弦波,锯齿波,方波,三角波发生器的程序-Assembly language generated by look-up table to achieve a sine wave, sawtooth wave, square wave, triangle wave generator program
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:861
    • 提供者:Tr
  1. MP3

    0下载:
  2. 在给定*wav格式的音频文件上迭加另一个音频信号(如正弦波、三角波等),然后将迭加后的音频文件播放-In a given* wav format audio files on the superposition of another audio signal (eg sine wave, triangle wave, etc.), and then superimposed upon the audio file playback
  3. 所属分类:Compiler program

    • 发布日期:2017-03-30
    • 文件大小:621
    • 提供者:涵子
  1. weijiyuanlishiyanchengxu17ge

    0下载:
  2. 是对汇编语言的各种功能的总结,像8255 方波 三角波 锯齿波的程序都包含在内。-Assembly language is a summary of the various functions, such as 8255 square triangle sawtooth procedures are included.
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:20742
    • 提供者:王文
  1. shuzixinhao

    0下载:
  2. 数字信号发生器,通过按键产生正弦波、方波和三角波并调频-Digital signal generator
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:2707
    • 提供者:童欣
  1. 1

    0下载:
  2. 用汇编语言编写的正弦波,三角波,方波,锯齿波,并通过按键来选择。-Written in assembly language of sine, triangle, square, ramp, and through the button to select.
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:1498
    • 提供者:wjj
  1. BOXING1

    0下载:
  2. 波形发生器,四种波形的产生,开关键选择,三角波,锯齿波,方波,正弦波-Waveform generator, four waveform generation, the key to open the selection, triangle, sawtooth, square wave, sine wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:699
    • 提供者:liu
  1. Waveform-generator

    0下载:
  2. 通过汇编语言在dos环境下编写的波形发生程序 有锯齿波,方波,和三角波可供选择-Assembly language written in the dos environment waveform , sawtooth, square wave, triangle wave to choose from
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1302
    • 提供者:代戈壁
  1. Microcomputer-Principles-(Report)

    0下载:
  2. (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机的接口电路; (3)、程序功能要求:小键盘给定、数码管(屏幕)显示,并产生对应信号 波形(D/A)输出(信号波形包括正弦波、三角波、方波、锯齿波)、输出信号波 形幅度、频率可调(注意:按键数量应尽量少)。 -(1), respectively, in C and assembly language programming to complete the functional desig
  3. 所属分类:assembly language

    • 发布日期:2017-05-01
    • 文件大小:956934
    • 提供者:周文俊
  1. LED

    0下载:
  2. 具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从100HZ到1kHZ,步进为100HZ;幅度可调,从0到5伏,步进为0.1V-failed to translate
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3179
    • 提供者:lihuaxing
  1. ad9833in

    0下载:
  2. dsp 28335 控制 dds ad9833 产生正弦波,方波,三角波等-dsp 28335 control dds AD9833 generated sine wave, square wave, triangle wave
  3. 所属分类:Compiler program

    • 发布日期:2017-03-29
    • 文件大小:2212
    • 提供者:kiss
  1. SPIC-D

    0下载:
  2. 这是集成电路老化台下位机汇编程序。用于从上位机接收需要产生的波形数据,产生相应的数字信号及模拟信号。模拟信号包括前沿锯齿波,后沿锯齿波,方波,正弦波,三角波。通讯采用485,19.2K波特率。-This integrated circuit aging audience-bit machine assembler. For the need to generate a waveform from a host computer to receive data, and generates the
  3. 所属分类:assembly language

    • 发布日期:2017-11-11
    • 文件大小:19067
    • 提供者:xu
  1. Signal-fasheng

    0下载:
  2. 函数信号发生器,可以产生三角波、方波、正弦等信号,占空比、幅值均可调-Function signal generator, can produce a triangle wave, square wave, sine signal, duty cycle, the amplitude can be adjusted
  3. 所属分类:LabView

    • 发布日期:2017-11-13
    • 文件大小:5442852
    • 提供者:shao
  1. aa

    0下载:
  2. 1、 编程完成A/D转换程序。将ADC0804输入端的电压转换成数字量,并将该数字量显示在数码管上。 2、 编程完成D/A转换程序。使用DAC0832输出三角波、锯齿波、正弦波和方波,信号的频率自行设定,幅度均设置为3V。 -1, the programming is complete, the A/D conversion process. The voltage at the input of the ADC0804 conversion to digital, and the di
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:7105
    • 提供者:刘琪
  1. DAC0832

    0下载:
  2. 单片机控制DAC0832输出正弦波三角波汇编程序-SCM control DAC0832 output sine wave triangle wave assembler
  3. 所属分类:assembly language

    • 发布日期:2016-01-26
    • 文件大小:1024
    • 提供者:chengeng
  1. EDA

    0下载:
  2. 设计一个智能函数发生器,能够产生递增斜波、递减斜波、方波、三角波、正弦波及及阶梯波波形,并可通过开关选择输出的波形。-Design an intelligent function generator, capable of generating incremental ramp descending the ramp, square, triangle wave, sine wave and ladder ball-shaped, and can switch to select the out
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:330059
    • 提供者:云龙
  1. triangle

    0下载:
  2. 用汇编语言编写的单片机三角波发生器,利用D/A转换芯片实现本程序-Triangular wave generator microcontroller written in assembly language, the use of the D/A converter chip this program
  3. 所属分类:assembly language

    • 发布日期:2017-11-16
    • 文件大小:819
    • 提供者:朱志华
  1. sjb

    0下载:
  2. 利用matlab实现三角波的生成及频谱分析。-Matlab triangle wave generation and spectrum analysis.
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-11-05
    • 文件大小:577
    • 提供者:
  1. 4

    0下载:
  2. 构建能产生125Hz的带有噪声的三角波信号。-Construction can produce 125Hz triangular wave signal with noise.
  3. 所属分类:LabView

    • 发布日期:2017-12-04
    • 文件大小:24435
    • 提供者:陈风
  1. qqxnxhfsq

    0下载:
  2. 基于声卡的虚拟信号发生器,可以产生正弦波,三角波,方波,锯齿波等波形-Sound card-based virtual signal generator
  3. 所属分类:LabView

    • 发布日期:2017-11-26
    • 文件大小:42798
    • 提供者:韩明松
  1. LCA513

    0下载:
  2. 基于汇编语言的信号发生器(三角波,梯形波,矩形波)-Based on the signal generator assembly language (triangle wave, trapezoidal wave, rectangular wave)
  3. 所属分类:assembly language

    • 发布日期:2017-11-21
    • 文件大小:622
    • 提供者:李淑彬
« 1 2 34 5 6 »
搜珍网 www.dssz.com