CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 乘法器

搜索资源列表

  1. and1

    0下载:
  2. 用VHDL语言编写的三位二进制的乘法器,其原理是每位相乘后再错位相加-using VHDL prepared by the three binary multipliers, the principle is that each subsequent dislocation multiplication sum
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:36528
    • 提供者:yanyuntao
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. shixuchengfa

    1下载:
  2. 时序乘法器,8位x8位,vhdl语言.仿真验证过了.多多交流!-sequential multiplier, eight x8 spaces vhdl language. Simulation before. Interact more!
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1847
    • 提供者:天禄
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. mult8_rtl

    0下载:
  2. 一个用VHDL语言编写的乘法器程序,望大家多多支持啊。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1814
    • 提供者:毛江飞
  1. shiweichenfaqi

    0下载:
  2. maxplus做的四位乘法器,可下载仿真
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:203178
    • 提供者:赵冉
  1. 32_bit_cpu

    0下载:
  2. 两条5级的并行流水线,乘法器还有一个简单的中断系统(带一个中断管理的‘操作系统’吧),再加上一个编译器。 主要是说明一下CPU的设计方法,还有一些简单的模块例如加法器,乘法器
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:811757
    • 提供者:冯治
  1. Low_power_Modified_Booth_Multiplier

    0下载:
  2. 主題 : Low power Modified Booth Multiplier 介紹 : 為了節省乘法器面積、加快速度等等,許多文獻根據乘法器中架構提出改進的方式,而其中在1951年,A. D. Booth教授提出了一種名為radix-2 Booth演算法,演算法原理是在LSB前一個位元補上“0”,再由LSB至MSB以每兩個位元為一個Group,而下一個Group的LSB會與上一個Group的MSB重疊(overlap),Group中的位元。 Booth編碼表進行編碼(Booth
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:14123
    • 提供者:stanly
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. design_of_GF_mul

    0下载:
  2. 所属分类:source in ebook

    • 发布日期:2017-04-13
    • 文件大小:1870
    • 提供者:石小磊
  1. butfly4

    0下载:
  2. 基4-FFT蝶形单元实现,按照FPGA内部的乘法器功能编写的-4-FFT butterfly-based unit to achieve, in accordance with the internal FPGA multiplier feature prepared
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1176
    • 提供者:苏菲
  1. bwcfq

    0下载:
  2. 纯组合逻辑构成的乘法器虽然工作速度比较快,但过于占用硬件资源,难以实现宽位乘法器,基于PLD器件外接ROM九九表的乘法器则无法构成单片系统,也不实用。这里介绍由八位加法器构成的以时序逻辑方式设计的八位乘法器,具有一定的实用价值,而且由FPGA构成实验系统后,可以很容易的用ASIC大型集成芯片来完成,性价比高,可操作性强。-err
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:1047
    • 提供者:makai
  1. Multiplier

    0下载:
  2. 用VHDL语言仿真乘法器设计。能够实现一般乘法运算。-Multiplier using VHDL language design simulation. Multiplication can be achieved in general.
  3. 所属分类:MPI

    • 发布日期:2017-04-26
    • 文件大小:85196
    • 提供者:吴伟
  1. EP1C3_91_MULTI8X8

    0下载:
  2. 移位相加硬件乘法器设计 程序设计与硬件实验-Add hardware multiplier shift programming and hardware design experiment
  3. 所属分类:Compiler program

    • 发布日期:2017-03-29
    • 文件大小:40993
    • 提供者:小欧
  1. VHDL

    0下载:
  2. VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1139139
    • 提供者:Michael
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. eda

    0下载:
  2. 利用vhdl设计fir滤波器,有完整程序, 包含加法器,乘法器。-Design using vhdl fir filter, a complete program, including adders, multipliers.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:186750
    • 提供者:黄林
  1. siweichengfa

    0下载:
  2. 这个程序是乘法器,可以进行四位的乘法运算含有纠错功能。汇编语言-This program is a multiplier, multiplication can contain four error correction. Assembly language
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1893
    • 提供者:肖林
  1. cf

    0下载:
  2. 乘法器ASM程序 TMS320LF2407也可以调用相关子程序,控制逆变器的运行-this is a asm chengxu
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1040
    • 提供者:haoranwu
  1. booth

    0下载:
  2. 基于booth算法的16位乘法器,通过减少部分积的运算次数提升速度。(The 16 bit multiplier based on the Booth algorithm improves the speed by reducing the number of arithmetic times of the partial product.)
  3. 所属分类:中间件编程

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:JoincoreX
« 12 3 »
搜珍网 www.dssz.com