CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 加法器

搜索资源列表

  1. add

    0下载:
  2. 单片机vhdl设计的加法器 运行的芯片为PIC208-adder
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:70196
    • 提供者:jimgreen
  1. add

    0下载:
  2. 四位无符号加法器 可以实现两个四位二进制数相加-4 unsigned adder can achieve binary sum of two 4
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1494
    • 提供者:梁天尺
  1. jiafaqixiuding

    0下载:
  2. 带显示界面的加法器,含有纠错功能,字体带有颜色,功能齐全-Adder with a display interface, with error correction, with the font color, full-featured
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1135
    • 提供者:肖林
  1. FloatingPointAdder

    0下载:
  2. 浮点加法器论文 a paper of floating point adder-a paper of floating point adder
  3. 所属分类:Compiler program

    • 发布日期:2017-03-31
    • 文件大小:154911
    • 提供者:frank
  1. digital-code-lock-design

    0下载:
  2. 数电所用,应该是在利用运放的时候的一个资料。基本上就是加法器等等。-The number of electric use ~
  3. 所属分类:Compiler program

    • 发布日期:2017-03-31
    • 文件大小:905857
    • 提供者:李兆旸
  1. add32

    0下载:
  2. 32位加法器,可以对32位进行逻辑运算,并且带有测试程序-32-bit adder 32 can perform logical operations, and with the test procedures
  3. 所属分类:易语言编程

    • 发布日期:2017-03-28
    • 文件大小:3992
    • 提供者:annie
  1. 01

    0下载:
  2. 學習arm組合與炎帝一個步驟:學習使用加法器-Learning arm combination with the Yandi a step: learning to use the adder
  3. 所属分类:assembly language

    • 发布日期:2017-11-30
    • 文件大小:85573
    • 提供者:吳名
  1. 16

    0下载:
  2. 16位加法器,包括带符号的和不带符号的两种。基于vhdl编写。-16bit muntiplyer
  3. 所属分类:source in ebook

    • 发布日期:2017-11-16
    • 文件大小:945
    • 提供者:任少杰
  1. multi16

    0下载:
  2. 有符号16位乘法器。经典booth编码。拓扑结构为wallance树。加法器类型是进位选择加法器。-Number system: 2 s complement Multiplicand length: 16 Multiplier length: 16 Partial product generation: PPG with Radix-4 modified Booth recoding Partial product accumulation: Wallace t
  3. 所属分类:MPI

    • 发布日期:2017-11-18
    • 文件大小:48852
    • 提供者:周晓生
  1. adder

    0下载:
  2. 加法器程序,c++ builder程序,源程序-adder,can add you want number
  3. 所属分类:assembly language

    • 发布日期:
    • 文件大小:2208
    • 提供者:john
  1. Mybole

    0下载:
  2. MFC的加法器,建立对话框实现两个数的相加,还有对话框的伸长缩小功能-add two numbers using MFC
  3. 所属分类:source in ebook

    • 发布日期:2017-12-04
    • 文件大小:3696157
    • 提供者:crooner
  1. WXZ

    0下载:
  2. 加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。由于负数可用二的补数来表示,所以加减器也就不那么必要。-The adder is generated th
  3. 所属分类:assembly language

    • 发布日期:2017-11-21
    • 文件大小:134957
    • 提供者:孙雅琴
  1. 8-20

    0下载:
  2. 最简练的加法器 不用其他的library-most simple adder
  3. 所属分类:assembly language

    • 发布日期:2017-11-28
    • 文件大小:545
    • 提供者:CHENGLU CHI
  1. and2

    0下载:
  2. 加法器,一种用硬件描述语言写的,非常实用简介-Adder, a hardware descr iption language, A Practical Introduction
  3. 所属分类:source in ebook

    • 发布日期:2017-12-01
    • 文件大小:34816
    • 提供者:jfkjmfo
  1. ADDER

    0下载:
  2. 51单片机,加法器,包括键码扫描、储存、LED显示,有退格修改功能-51, adder, including key code scanning, storage, LED display, there is the backspace editing features
  3. 所属分类:assembly language

    • 发布日期:2017-12-05
    • 文件大小:1744
    • 提供者:lau kaywing
  1. 4

    0下载:
  2. 基于delphi可视化开发的多项式乘法、加法器-Visual development based on delphi polynomial multiplication, adder
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-03
    • 文件大小:177674
    • 提供者:李林
  1. VHDL

    0下载:
  2. 本程序包换一个游戏程序和各种功能的计数器和加法器源程序及波形发生器的代码程序,适合初学者使用-This program replacement a game program and a variety of functions counters and adders and waveform generator source code procedures, suitable for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2450
    • 提供者:xuliyue
  1. GUI_adder

    0下载:
  2. 采用MATLAB GUI 设计的加法器界面,输入两个数,实现两数相加的功能,适合于刚刚接触的同学。-Using MATLAB GUI interface design adder, enter two numbers, two numbers together to achieve the function, just contact for students.
  3. 所属分类:ELanguage

    • 发布日期:2017-03-26
    • 文件大小:5327
    • 提供者:李玮
  1. adder

    0下载:
  2. 加法器/计数器实例,该器程序主要实现加法器和计数功能。-Adder/Counter Instance
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:162576
    • 提供者:shixiaodong
  1. SY3

    0下载:
  2. 西南交通大学计算机组成原理实验三 四位加法器设计-Southwest Jiaotong University computer composition principle experiment thirty-four adder design
  3. 所属分类:Compiler program

    • 发布日期:2017-05-10
    • 文件大小:2105750
    • 提供者:han
« 1 2 34 »
搜珍网 www.dssz.com