CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 加法器

搜索资源列表

  1. Assembly

    0下载:
  2. 32BIT加法器,輸入2個數字就可以跑出2個數字的合-32BIT Adder, the importation of two figures will be portrayed two figures cooperation
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:陳宏期
  1. jiafaqimiaoshu

    0下载:
  2. 一个简单的加法器描述,以前在别的网站上被发过,现在存在这里.-a simple adder described previously in other websites have been made, and now exist here.
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1294
    • 提供者:李一鸣
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. ADDER8B

    0下载:
  2. 8位加法器VHDL 8位加法器VHDL-eight Adder VHDL e ight Adder VHDL eight Adder VHDL 8 Adder VHDL
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:45064
    • 提供者:秦顺金
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. wenjia

    0下载:
  2. 一个无符号的加法器小程序-without a symbol of small programs Adder
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1558
    • 提供者:萧萧
  1. adder8

    0下载:
  2. 一个用VHDL语言编写的加法器,希望大家能够得到启示。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:810
    • 提供者:毛江飞
  1. SAA7113

    0下载:
  2. 用quartusII编写的,基于vhdl语言的按键加法器,从0到11,也可通过拨码开关控制,从11到0,加入了键盘防手抖。-
  3. 所属分类:汇编语言

    • 发布日期:2014-01-16
    • 文件大小:1633575
    • 提供者:yl
  1. add

    0下载:
  2. verilog加法器产生第0 位本位值和进位值产生第1 位本位值和进位值产生第2 位本位值和进位值
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:855
    • 提供者:吕鹏
  1. CLA

    0下载:
  2. 超前进位加法器得VHDL实现小点资料代码
  3. 所属分类:并行运算

    • 发布日期:2014-01-17
    • 文件大小:824
    • 提供者:long
  1. adder

    2下载:
  2. 8位超前进位加法器 就是使各位的进位直接由加数和被加数来决定,而不需要依赖低位进位
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:7668
    • 提供者:黄昆
  1. 1_ADDER

    0下载:
  2. 使用硬件实现,通过FPGA验证的效率较高的加法器,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1139
    • 提供者:hwg
  1. bianmadeaomi

    0下载:
  2. 《编码的奥秘》作者 Charles Petzolel 译者 伍卫国 王室政 等译 本书用大量的篇幅讲述了与计算机原理相关的条种编码方法,并通过数字逻辑电路(包括逻辑与开关,逻辑门电路与触发器,二进制加法器等)以及存储器、微处理器的形式、组织及发展阐述了编码的实现。此外,本书还涉及到计算机系统、操作系统、编程语言等的产生及发展,甚至对计算机图形化的相关技术也给了一个全面的描述。
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:6608362
    • 提供者:xf
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:94759
    • 提供者:韩善华
  1. add_16_bcd

    0下载:
  2. 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1073
    • 提供者:韩善华
  1. eecadd_8

    0下载:
  2. 此程序采用VHDL语言,利用元件例化语句,在带BCD码转换的4位加法器的基础上完成8位加法器的例化
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1436
    • 提供者:韩善华
  1. 我的加法器

    0下载:
  2. 简单的加法器,随机出题,简单判断答案是否正确,有利于新手看看。
  3. 所属分类:Delphi控件源码

  1. myadd32

    0下载:
  2. 32位全程加法器,可以进行移位操作及多位多输入多输出加减法-32-bit full adder, shift operations can be carried out and a number of multiple-input multiple-output addition and subtraction
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-05
    • 文件大小:1473
    • 提供者:lwq
  1. labview

    0下载:
  2. 数字电路的8位加法器哦上传上来互相学习学习(The 8 bit adder of digital circuit is uploaded to learn from each other)
  3. 所属分类:LabView编程

    • 发布日期:2018-01-09
    • 文件大小:16384
    • 提供者:clearlove9
« 12 3 4 »
搜珍网 www.dssz.com