CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 演示代码

搜索资源列表

  1. resizepanel.zip

    0下载:
  2. 动态改变PANEL的演示代码
  3. 所属分类:Dephi控件源码

    • 发布日期:2014-04-11
    • 文件大小:198310
    • 提供者:
  1. resizepanel

    0下载:
  2. 动态改变PANEL的演示代码-dynamic change PANEL demo code
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:198623
    • 提供者:张旭
  1. 表盘式时钟汇编原代码

    0下载:
  2. 基本设计要求 1 在屏幕上显示一个适当大小的圆形,再圆形道上均匀的分配着60个点,代表1秒—60秒的位置,凡是5倍的点应当比其他的大。 2 以圆中心为轴,画一条斜线代表秒针,采用定时中断方式,每过1秒钟,秒针移动一个位置。 3 定时源可以使用试验箱上的8253。 4 按“ESC”之后,结束演示。 - The basic design requests 1 on the screen to demonstrate a suitable size the circula
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:39620
    • 提供者:陈万通
  1. 第三章 客户端中文输入法的处理演示代码

    0下载:
  2. 第三章 客户端中文输入法的处理演示代码.rar 谢谢支持!- The third chapter customer end Chinese input method processing demonstration code rar thanks the support!
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:41838
    • 提供者:刘锐涛
  1. 第四章 格式化文字代码和演示代码

    0下载:
  2. 第四章 格式化文字代码和演示代码.rar - Fourth chapter formatting writing code and demonstration code rar
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:32947
    • 提供者:刘锐涛
  1. xunlusuanfa

    0下载:
  2. 寻路算法的演示代码,很好的参考代码,绝对经典-demonstration code and a good reference code, the absolute classic
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1149662
    • 提供者:刘反对
  1. SR_test

    0下载:
  2. 本代源演示了microsoft speech sdk实现了的语音识别。简单演示代码,使读者很容易就能理解-this generation sources demonstration of microsoft speech sdk realization of the speech recognition. Simple demo code, so that readers can be easily understood
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:2404173
    • 提供者:夏佳
  1. 使用互斥进行线程同步代码示例 Thread3_23

    0下载:
  2. 本代码演示了利用临界区实现线程的同步。-demonstration of the code used to achieve critical thread synchronization.
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:18158
    • 提供者:崇锦
  1. Editsysdata

    0下载:
  2. 动态添加控件的演示代码,标住清楚,思路清晰,不可不看-adding the demo code clearly living standard, a clear line of thinking, can not see
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:12925
    • 提供者:hongxin
  1. VC++

    0下载:
  2. 一个俺们老师上课时编的VC++课堂演示代码 希望对大家有帮助~1
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:29248
    • 提供者:159263
  1. zxNum

    0下载:
  2. 网络游戏:《诛仙》第一版答题时出现的数学题选择正确答案的VB演示代码。 代码特色是可以识别全角+、-的结果
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6217
    • 提供者:thie
  1. proa8004

    0下载:
  2. Project Analyzer 8.0.04 演示代码
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:4470575
    • 提供者:rein_1124
  1. x

    0下载:
  2. 关闭QQ进程的小演示代码!!大家可以下载来
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:855
    • 提供者:任益
  1. delphi7demo100

    0下载:
  2. delphi全套演示代码,百十个控件任意下载
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:8431538
    • 提供者:的撒旦
  1. QQ尾巴演示代码

    0下载:
  2. QQ尾巴,visual basic 6.0编写完成-QQ s tail,Edit with visual basic 6.0
  3. 所属分类:杀毒

    • 发布日期:2008-10-13
    • 文件大小:1583
    • 提供者:斯拉普
  1. SGIP_CMPP2CMPP3_SMGP_SMS_demo

    0下载:
  2. delphi联通SGIP,移动CMPP2.0,CMPP3.0集于一体的短信网关控件及演示,能够为软件开发商快速开发短信发送平台节省大量的开发时间和提高工作效率,控件简单易懂易用。 联通SGIP以及电信SMGP支持长短信发送 组件及演示代码下载地址 http://link-chips.gnway.net/联通SGIP_移动CMPP2_CMPP3短信网关控件及demo.rar-delphi Unicom SGIP, mobile CMPP2.0, CMPP3.0 set
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-22
    • 文件大小:458975
    • 提供者:huiwww
  1. OSDemo

    0下载:
  2. 操作系统实验演示代码(三个实验,作业管理,内存管理,文件管理)-Experimental demonstration of the operating system code (three experiments, operations management, memory management, document management)
  3. 所属分类:OS Develop

    • 发布日期:2017-11-09
    • 文件大小:832330
    • 提供者:站长
  1. Depoint

    0下载:
  2. 断点续传的整理演示代码 断点续传的整理演示代码-HTTP demo code collation collation HTTP demo code
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-16
    • 文件大小:199254
    • 提供者:222
  1. operationSystem

    1下载:
  2. 操作系统的演示代码,包括内存管理,文件系统,设备管理等内容。-Demonstration of the operating system code, including memory management, file system, device management and so on.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-10
    • 文件大小:809983
    • 提供者:wang
  1. m2

    0下载:
  2. 一个汇编语言做的字符串加密演示代码 可以对密码进行加密-Assembly language to do a string encrypted password demo code can be encrypted
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1267
    • 提供者:jdc
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com