CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 空调 控制

搜索资源列表

  1. BCAN1

    0下载:
  2. 实现CAN总线的接收,发送,故障处理,处理器采用的是STC89C58RD,是中央空调控制系统的下位机程序的一部分.-CAN Bus receive, send, fault handling, the processor is STC89C58RD. central air-conditioning control system of the next crew part of that process.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3876
    • 提供者:朱万林
  1. 09NV(808)

    0下载:
  2. 此程序是一个用东芝807芯片写的,是一个详细描述空调整个控制流程-this program is a 807 chip with Toshiba to write, is a detailed descr iption of the entire air-conditioning control flow
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:20720
    • 提供者:萧风
  1. day2006-3-3

    0下载:
  2. 空调控制器,用6502系列CPU作为主芯片,控制继电器,实现空调的加热,致冷,通风,定时等设置。-HVAC, and 6502 series CPU chip as the main control relays, Implementation of the air conditioning heating, cooling, ventilation, such as setting up regularly.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:92490
    • 提供者:王海峰
  1. ToYao

    0下载:
  2. 空调温度自动控制(lcd显示+上下限可设置)采用80C51+1602+AD590+ADC0809
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:97863
    • 提供者:zhaobo
  1. H26

    0下载:
  2. 空调恒温控制 利用实验仪上显示电路,键盘电路,A/D变换电路,完成类似空调恒温控制实验,可以利用实验仪上的电位器模仿温度变化,加热和致冷电机可以用发光管代替。要求可以用键盘设定恒温温度,当外界温度超过设定温度+/-2℃时,就要启动加热或致冷电机。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2085
    • 提供者:若惜
  1. MPLAB

    0下载:
  2. 用PIC16C54来实现汽车空调的动作控制(扫风、除霜、点火、循环扫风。。。),因16C54内存较小,故采用汇编来实现,其中的定时编程,颇费了我一番心思,希可供借签!
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:22519
    • 提供者:王烨
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. zhinengjiajuxitong

    0下载:
  2. 系统能够通过电话实现家电远程控制,如远程控制电饭锅、路灯、空调等功能。-By phone appliance remote control, electric cookers, lights, air conditioning, and other functions such as the remote control.
  3. 所属分类:assembly language

    • 发布日期:2017-12-06
    • 文件大小:3515
    • 提供者:
  1. H26

    0下载:
  2. 模拟实现温度的检测 与采集 和显示控制,就是模拟实现空调的管理系统,需要硬件支持-Simulation of temperature detection and acquisition and display control, that is, simulation of air conditioning management system requires hardware support
  3. 所属分类:assembly language

    • 发布日期:2017-11-13
    • 文件大小:1878
    • 提供者:李一
  1. smartcontrl-vi

    0下载:
  2. 这是一个用Labview编写的能远程控制空调的VI程序,非常有用。-this is a vi writen by Labview which can remote control aircondition.it is very useful.
  3. 所属分类:LabView

    • 发布日期:2017-04-26
    • 文件大小:221087
    • 提供者:toby
  1. ktwk

    0下载:
  2. 空调温度控制。利用实验仪上的显示电路、键盘、或开关电路、A/D转换电路,模拟空调恒温控制。-Air-conditioning temperature control. Experiments using the display circuit on the scanner, keyboard, or switching circuits, A/D converter circuit, an analog temperature control air conditioning.
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1499
    • 提供者:陈佳玲
  1. kongtiaokongzhiqi

    0下载:
  2. 使用的是VHDL语言,实现的空调控制,可以运行,并且具有很好的效果-Using VHDL language, air conditioning control to achieve, you can run and has a good effect
  3. 所属分类:source in ebook

    • 发布日期:2017-04-29
    • 文件大小:306440
    • 提供者:张琪
搜珍网 www.dssz.com