CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 累加器

搜索资源列表

  1. dds

    0下载:
  2. FPGA实现直接数字信号源.一个相位累加器的设计
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5088
    • 提供者:马彩青
  1. computerzhuchengyuanli

    0下载:
  2. 设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。 (7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中每指条令的时序分析,累加器A和有关寄存器、存储器的数据变化以及数据流程)。
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:314494
    • 提供者:zxf
  1. 键盘设计

    0下载:
  2. 1,消除按键的抖动问题 因为按键在闭合或断开过程中出现一段抖动期,主要由于按键的不稳定性引起的,这时会呈现一串页脉冲,时间的长短和开关的机械特性有关。一般在5ms~10ms之间。为保证CPU对键的一次闭合作一次处理,必须去抖动。在键的稳定闭合或断开时读键的状态。 2,据EICE51原理图编写并调试一个键输入子程序,其功能为判断键盘上有无键输入,若有键入,作去抖动处理后,计算输入键的键号送累加器A。-eliminate jitter button issues as keys or disconn
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:832
    • 提供者:叶文
  1. bcd

    0下载:
  2. 单字节十六进制整数转换成单字节BCD码整数 入口条件:待转换的单字节十六进制整数在累加器A中。 出口信息:转换后的BCD码整数(十位和个位)仍在累加器A中,百位在R3中。 影响资源:PSW、A、B、R3 堆栈需求: 2字节-Single-byte hexadecimal integer into BCD code single-byte integer entrance conditions: to be the conversion of single-byte hexade
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2357
    • 提供者:陈宁
  1. chaizi

    0下载:
  2. 拆字5.试编写一拆字子程序,将累加器A中的高、低四位分开,分别对应放到31H单元、30H单元的低四位中,并将31H单元、30H单元的高四位全部补0。 6.试编写一拼字子程序,将外部RAM中3001H单元、3000H单元的低四位组成一个新的字节,存储到内部数据存储器32H单元中。 -拆字5.拆字try to prepare a subroutine, the accumulator A of the high and low four separate, corresponding o
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6201
    • 提供者:chutianfei
  1. DAC0832

    0下载:
  2. 利用DAC0832产生三角波,程序如下: 本程序中产生的电压的最大幅度受DAC0832的基准电压限制 产生的的三角波周期受累加器A的控制,可以通过改变每次加的数值来改变周期,但连续性绘变差 也可以改变延时时间来实现-DAC0832 generated using the triangular wave, as follows: This procedure generated by the voltage of the most significant restriction
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:32599
    • 提供者:desmond
  1. 12

    0下载:
  2. 4位串行累加器,7474、74183、74194-Four serial accumulator
  3. 所属分类:LabView

    • 发布日期:2017-11-02
    • 文件大小:10857
    • 提供者:王哲
  1. add4

    0下载:
  2. 并行计算的4位累加器,a和b分别为4为数据,cin为进位三者相加结果为sout和cout-4-bit parallel computing accumulator, a and b are 4 for the data, cin is carry addition result of the three sout and cout
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:45380
    • 提供者:王深圳
  1. leijia

    0下载:
  2. 用LabView制作出来的累加器,可以对数进行累加-Using LabView, made an accumulator can be cumulative logarithmic
  3. 所属分类:LabView

    • 发布日期:2017-04-14
    • 文件大小:3683
    • 提供者:风雨
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
搜珍网 www.dssz.com