CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - 计时器 VHDL

搜索资源列表

  1. 112312312312312

    0下载:
  2. 计时器的vhdl码 -timer code in vhdl
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1393
    • 提供者:heyong
  1. second

    0下载:
  2. 上传个EDA得VHDL语言编程得秒计时器,希望对大家能有所帮助 谢谢了-From months EDA was VHDL language programming a second timer, I hope all of you can help I would like to thank the
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:3012
    • 提供者:周杰
  1. clock

    0下载:
  2. 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体震荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表,已成为人们日常生活中必不可少的必需品。-Digital Clock is a digital circuit implementation, " when" , " sub" , " second" The figures show that the timing device. Digita
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:339081
    • 提供者:庄青青
搜珍网 www.dssz.com