CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - (7

搜索资源列表

  1. chap09

    3下载:
  2. ex9_1 脉冲信号调制 ex9_2 载波10MHz,带宽2MHz的线性调频信号及其频谱图 ex9_3 产生7位巴克码编码的二相码 ex9_4 产生7位巴克码和线性调频的混合调制信号 ex9_5 瑞利分布实现程序 ex9_6 瑞利分布+杂波 ex9_7 相关对数正态分布杂波 ex9_8 相关weibull分布杂波 ex9_9 相干相关K分布杂波 ex9_10 线性调频信号的数字化正交解调 ex9_11 雷达脉冲压缩处理 ex9_12 二相编码信号的脉压
  3. 所属分类:assembly language

    • 发布日期:2015-06-29
    • 文件大小:18644
    • 提供者:张满超
  1. qyafglxt

    1下载:
  2. 企业安防管理系统:随着企业的信息化建设不断深入,如今在很多生活领域(如小区物业、企事业单位等)都进行了安全布防,一旦有事件发生时,就可以进行人工或自动报警(如火警、水警等)。特别是大中型企业都加快了信息网络平台的建设;企业正逐步转向利用网络和计算机集中处理管理、生产、销售、物流、售后服务等重要环节的大量数据。 数字视频、音频技术以其高清晰度、易于存储、回放和共享而备受关注,是企业可视信息管理系统的重要组成部分。本系统是基于企业局域网平台针对企业安防、音、视频数据的管理系统。它是传统视频监控系
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-18
    • 文件大小:4627882
    • 提供者:maosan
  1. fei_060122

    0下载:
  2. 飞恒进销存(超市批发)管理系统(含源程序) 语言:Delphi 6/7 相关控件:FastReport 2.4以上, Ehlib 3.4以上 -Constant flying Invoicing (supermarket wholesale) management system (including source code) Language: Delphi 6/7 Related Controls: FastReport 2.4 above, Ehlib 3.4 and abov
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-06
    • 文件大小:1279416
    • 提供者:jangliqun
  1. Variable_modulus_counter

    0下载:
  2. (1)S2S1=00时,实现模3计数,触发器的状态一次0→1→2→0; (2)S2S1=01时,实现模5计数,触发器的状态一次0→1→2→3→4→0; (3) S2S1=10时,实现模7计数,触发器的状态一次0→1→2→3→4→5→6→0; (4) S2S1=11时,实现模7计数,触发器的状态一次0→1→2→3→4→5→6→7→0 -Variable modulus counter
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-24
    • 文件大小:24050
    • 提供者:张杨
  1. 2

    0下载:
  2. 设在字节变量ASCHEX中存放着一个用ASCII码表示的16进制数字,先要将它转换成控制数码管显示的7段代码, 并存入RESULT单元(字节变量)。试编出完成这一任务的程序-ASCHEX byte variables in a stored expressed with ASCII code 16 hexadecimal numbers must be converted to a digital display control 7 of the code, and deposited R
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:639
    • 提供者:杨光龙
  1. digitalclock

    0下载:
  2. 1、正常情况下在LED数码管上显示时钟和温度。显示格式为:hh.mm tto,其中hh为2位小时数,mm为2位分钟数,中间的.每隔1秒交替亮暗,tt为2位温度,温度范围为-50oC-+50oC,“o”为温度单位摄氏度。 2、假设选用的温度传感器已将温度-50oC-+50oC变换为0-5V电压信号,请完成温度值的采集并进行标量转换,再显示在LED数码管上。 3、应采取数字滤波技术提高温度测量稳定性(如均值滤波)。 4、若闹钟设置的时间到,则闹铃(可以驱动指示灯亮代替)。 5、通过开
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:239697
    • 提供者:许宏
  1. jishuqi

    0下载:
  2. 在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。 如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生 -VHD
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:29696
    • 提供者:卢陶
  1. 1

    0下载:
  2. 采集0-7路输入来自直流源经分压器产生的0~5 V直流电压(可功能扩展,8路可接不同的传感器,采集不同的信号,例如:温度、湿度、图象、声音等)ADC0809将各路模拟信号分别转换成8位二进制数字信号,再对各路数据进行显示。各路通道采集方式为以约5s为周期循环采集(即0路、1路……7路、0路…)约每5ms更新,重新采集一次。显示方式为循环显示和单路显示:开关打开时,循环显示0-7路采集的数据;开关闭合时,显示当前单路采集的数据。3个七段数码管显示结果:最左边的一个数码管DS0显示通道号,其它两个数
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1310
    • 提供者:廖婷
  1. IF-ELSEdiguixiajiangfasiyuanshi

    0下载:
  2. 1 )收件符合特定语法语法分析和属性文法。 ( 2 )完成的科目要求的中间代码的描述三个地址。 ( 3 )写的语法某一思想的分析,完成解析和语义分析节目。 ( 4 )良好的分析,编制过程中,设计了一些使用情况下,试验的设计通过分析程序。 ( 5 )的设计,附件所要求的报告写作格式。课程设计报告正文应包括以下内容: 1系统描述(描述问题域) 2属性文法和语法的描述 3描述了语法分析和语法分析设计 4给出了说明的形式,中间代码和中间代码序列的结构设计
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:354773
    • 提供者:王福源
  1. Ok3w_AspNews

    0下载:
  2. 一、后台直接更新系统信息,方便配置站点; 二、数据库在线压缩、备份; 三、友情链接管理; 四、站点介绍类文章任意添加; 五、新闻文章管理: 六、留言/评论管理。 七、网站管理员权限设置(超级管理员和新闻编辑人员); 八、站点信息配置删除一些无用信息; 九、改进留言/评论管理方式; 十、优化vbs.asp调用函数,增加图片列表函数及更多属性控制; -First, the background information directly update the s
  3. 所属分类:SCSI-ASPI

    • 发布日期:2017-05-02
    • 文件大小:521579
    • 提供者:TAKAHASHI
  1. Clock

    1下载:
  2. 一个win32写的汇编程序,里面的功能很强大,有着很好的界面支撑(包括数字钟和指针型的圆盘钟面),功能特点有:1.可以对时间进行设置2.可以设置界面的透明效果,可以最小化钟面到系统托盘3.可以使窗口中在最前面4.可以改变钟面的颜色5.可以定时,设定闹钟时间和闹钟铃声6.有相应的帮助操作chm(即支持chm帮助文件)7.其中的数字钟是用我的图像做钟面的,喜欢的人请不是随便抄袭和改变。 希望大家喜欢,共同进步!-A compilation of written procedures for
  3. 所属分类:assembly language

    • 发布日期:2014-08-26
    • 文件大小:63769791
    • 提供者:正龙
  1. The_Complete_Works_of_the_Chinese_version_of_Harry

    2下载:
  2. 哈利波特中文版全集(1-7),高清晰的版本,PDF文档版本。-The Complete Works of the Chinese version of Harry Potter (1-7), high-definition version, PDF version of the document.
  3. 所属分类:Editor

    • 发布日期:2017-02-06
    • 文件大小:7800322
    • 提供者:梁骁
  1. joseph

    0下载:
  2. 约瑟夫(Joseph)问题的一种描述是:编号是1,2,……,n的n个人按照顺时针方向围坐一圈,每个人持有一个密码(正整数)。一开始任选一个正整数作为报数上限值m,从第一个人开始按顺时针方向自1开始顺序报数,报到m时停止报数。报m的人出列,将他的密码作为新的m值,从他在顺时针方向的下一个人开始重新从1报数,如此下去,直到所有人全部出列为止。设计一个程序来求出出列顺序。 基本要求: 利用单向循环链表存储结构模拟此过程,按照出列的顺序输出各个人的编号。 测试数据: m的初值为20
  3. 所属分类:source in ebook

    • 发布日期:2017-04-06
    • 文件大小:1557
    • 提供者:拉拉
  1. pic12c508

    0下载:
  2. PIC12C508单片机控制灯光源程序以上程序使用PIC12C508单片机,内部4MHZ时钟,4脚输入50HZ脉冲信号,7,6,3脚(GP0,GP1,GP4端口)为输出口。其中渐变部分是让灯慢慢的变亮(或灭)。-PIC12C508 MCU control over light source uses PIC12C508 microcontroller, the internal 4MHZ clock, four feet 50HZ input pulse signal, 7,6,3-pin (G
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:981
    • 提供者:ciwei
  1. BEA_TUXEDO_program_easyly

    0下载:
  2. 概述、编程框架、缓冲区管理(1,2,3,4,5) 应用配置、事务管理、管理命令(11,12,13,14) 七种通讯方式编程( [2,5,]6,7[,10,16]) /WS,/Q, /Domain(15,16,17) 安全性、应用管理编程、事件代理(8,9,10)-Overview, programming framework, buffer management (1,2,3,4,5) application configuration, transaction manageme
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-03
    • 文件大小:157279
    • 提供者:流浪
  1. huibian

    0下载:
  2. 要求用子程序结构编写一程序,将存储器数据段中偏移量为s2开始存放具有一定长的数据块(源数据块为字节数据,内容为0,1,2,3,4,5,6,7,8,9),传送到存储器数据段中偏移量为d2(目标数据块)开始的单元中去。 -Asked to prepare a program with the subroutine structure, the memory data segment offset s2 start storing the data blocks of a certain length
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:57629
    • 提供者:张陆军
  1. jiaoxuehuibian

    0下载:
  2. 这是我帮我同学做的课程设计。 下面我写上这次设计的需求分析: [问题描述] 大学的每个专业都要制定教学计划。假设任何专业都有固定的学习年限,每学年含两学 期,每学期的时间长度和学分上限值均相等,每个专业开设的课程都是确定的,而且课程在 开设时间的安排必须满足先修关系。每门课程有哪些先修课程是确定的,可以有任意多门, 也可以没有。每门课恰好占一个学期。试在这样的前提下设计一个教学计划编制程序。 [基本要求] (1)输入参数包括:学期总数,一学期的学分上限,每门课
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:726499
    • 提供者:viking
  1. 162100PhpTxtForum3.2.8

    0下载:
  2. 1、原创论坛程序。无需安装数据库; 2、短小精悍(安装包仅320KB),运行快捷,超强承载; 3、功能完善,并随时支持后台在线升级; 4、采用SESS文件在线统计程序,性能优越可延伸; 5、采用所见即所得的在线编辑器; 6、无限级设立分论坛、版区; 7、具锁定、限定分论坛、版区功能; 8、多风格功能,兼容性好; 9、具文章搜索、投票功能; 10、具邮件发送功能; 11、具站内短信功能; 12、具RSS订阅功能-1, original forum pro
  3. 所属分类:Editor

    • 发布日期:2017-04-16
    • 文件大小:334497
    • 提供者:刘磊
  1. easyVM_Small.tar

    0下载:
  2. easyVM是一个简单的虚拟机。 0.1版本只支持8086指令集和一些简单的I/O设备,只支持英文文本显示方式。 0.2版本主要是在0.1版基础上加了一小部分32位指令(push eax等),使得easyVM可以运行MS-DOS 6.22自带的大部分程序。 文件说明: ==================== Bios\Bios.bin Bios程序 Bios\BiosData.bin CMOS数据
  3. 所属分类:OS Develop

    • 发布日期:2017-04-09
    • 文件大小:1707209
    • 提供者:zhanghui
  1. dpl

    0下载:
  2. PIC12C508单片机控制灯光源程序以上程序使用PIC12C508单片机,内部4MHZ时钟,4脚输入50HZ脉冲信号,7,6,3脚(GP0,GP1,GP4端口)为输出口 本文来源于虾客源码 http://www.xkxz.com-PIC12C508 MCU control over light source uses PIC12C508 microcontroller, the internal 4MHZ clock, four feet 50HZ input pulse signal,
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:2875
    • 提供者:赤鹏
« 1 2 3 4 5 6 7 89 10 11 12 13 »
搜珍网 www.dssz.com