CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - VHDL 矩阵键盘

搜索资源列表

  1. 矩阵键盘扫描程序.VHDL

    0下载:
  2. 所属分类:书籍源码

    • 发布日期:2009-04-02
    • 文件大小:2158
    • 提供者:leidonghai
  1. key_scan1

    0下载:
  2. 用verilog语言描述的键盘扫描程序,用于FPGA芯片以及矩阵键盘的测试。-With verilog language keypad scanning procedure.For the FPGA chip and matrix of the keyboard.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-31
    • 文件大小:200100
    • 提供者:张启梅
  1. matrix_1

    0下载:
  2. 基于FPGA的矩阵键盘设计,使用VHDL语言。有详细的注释可供参考-FPGA-based matrix keyboard design, using VHDL language. Detailed notes for reference
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:329005
    • 提供者:dingxing
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
搜珍网 www.dssz.com