CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - bcd to seven segment

搜索资源列表

  1. DECODE4_7

    1下载:
  2. BCD码到七段数码管的显示程序,已成功综合,仿真通过-BCD code to the Seven-Segment LED display procedures have been successfully integrated, simulation through
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:111458
    • 提供者:chendongkui
  1. Seven-segment-display-decoder

    0下载:
  2. 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal numbe
  3. 所属分类:Compiler program

    • 发布日期:2017-03-22
    • 文件大小:3148
    • 提供者:jlz
搜珍网 www.dssz.com