CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - clock vhdl

搜索资源列表

  1. clock

    0下载:
  2. 用vhdl开发的up3 clock,可以在up3的led上显示24小时制时分秒
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:14942
    • 提供者:李瑶
  1. clock.rar

    0下载:
  2. VHDL编写的,实现电子手表功能,硬件语言描写,定时非常准确,VHDL prepared, electronic watches, functions, hardware descr iption languages, timing is very accurate
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:389232
    • 提供者:星星
  1. 1234

    0下载:
  2. 多功能数字钟,、在quartus 2环境中编译通过; 4、仿真通过并得到正确的波形; 5、给出相应的设计报告 -Multifunction digital clock, in the quartus 2 compiler environment through 4, simulation through and get the correct waveform 5, gives the design report
  3. 所属分类:assembly language

    • 发布日期:2017-05-03
    • 文件大小:1188585
    • 提供者:陈飞
  1. clock

    0下载:
  2. EDA 数字钟实现文件 能够实现计时,闹钟,校时功能 -EDA digital clock time to achieve the realization of paper, alarm clock, school functions
  3. 所属分类:assembly language

    • 发布日期:2017-03-24
    • 文件大小:180835
    • 提供者:yuryan
  1. any_div_freq

    0下载:
  2. 可以对输入时钟任意分频(整数或小数),带Quartus II 完整项目文件.-Can be arbitrary points on the input clock frequency (integer or decimal), with complete Quartus II project document.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:246360
    • 提供者:拉灯
  1. clock

    0下载:
  2. 描述了24小时计时的数字钟,同时具有分秒计时的功能-Described a 24-hour digital time clock, at the same time every minute timer function
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:11319
    • 提供者:金珊珊
  1. shizhong

    0下载:
  2. 简单的VB时钟控件操作,对于刚学习VB.net的人很有帮助-VB simple clock control operation, for people just learning VB.net helpful
  3. 所属分类:source in ebook

    • 发布日期:2017-03-23
    • 文件大小:81325
    • 提供者:黄卫
  1. workhard

    0下载:
  2. 数字钟 可实现正常计数校准 还有方电台报时功能 四低一高 闹钟功能-Digital clock can be calibrated to achieve a normal count timekeeping function of the radio side there are four low and one high alarm
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1274117
    • 提供者:张慧玲
  1. clock

    0下载:
  2. 完成数字钟表的功能,可以实现整点报时,闹钟和设置时间-The completion of the functions of digital watches, you can bring the whole point timekeeping, alarm clock and set-up times
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1303
    • 提供者:姜楠
  1. digital_clk

    0下载:
  2. 此程序是实现数字钟的,包括校时 闹钟 二十四小时和十二小时的转换-This procedure is to achieve digital clock, including the school alarm clock 24 hours and 12 hours the conversion
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:576692
    • 提供者:daigunagzhi
  1. clock

    0下载:
  2. 电子课程设计数字钟的源代码,已在试验箱上实现,定义了管脚。可以调整时间-E-curriculum design digital clock source code has been achieved in the chamber, the definition of a pin. Can adjust the time
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:502392
    • 提供者:龙龙
  1. clk

    0下载:
  2. 通过一个主时钟信号完成异步FIFO读写时钟信号的产生。编译通过实现功能。-Through a master clock signal the completion of asynchronous FIFO read and write clock signal generation. Compiler through the implementation function.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:30061
    • 提供者:ouping
  1. clock

    0下载:
  2. 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体震荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表,已成为人们日常生活中必不可少的必需品。-Digital Clock is a digital circuit implementation, " when" , " sub" , " second" The figures show that the timing device. Digita
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:339081
    • 提供者:庄青青
  1. asynFifo

    0下载:
  2. 异步fifo在IC设计中,非常重要;是异步时钟域同步方法-Asynchronous fifo in IC design, is very important are asynchronous clock domain synchronization
  3. 所属分类:OS Develop

    • 发布日期:2017-04-11
    • 文件大小:1462
    • 提供者:leng
  1. EDAtest

    0下载:
  2. 关于数字钟的实现,用VHDL实现时,分,秒,的显示,并能报时-Digital clock on the realization of VHDL to achieve with hour, minute, seconds display, and time
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:170660
    • 提供者:dulianjie1
  1. clk_en_gen

    0下载:
  2. 可靠的时钟产生器,采用同步设计,经过编译仿真,结果正确-Reliable clock generator, using synchronous design, compiled simulation, the results of the correct
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-01
    • 文件大小:263736
    • 提供者:沈蝶
  1. crc8

    1下载:
  2. 8bit CRC码生成器vhdl 代码,延时一个周期CRC码有效。-8bit crc code genergator,after delay one clock,crc code valid
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:770
    • 提供者:luoda
  1. clock

    0下载:
  2. 电子时钟简单设计模板,内含源代码,并可实现简单计时-Electronic Clock simple design template, containing the source code, and with a simple timing
  3. 所属分类:source in ebook

    • 发布日期:2017-04-25
    • 文件大小:217552
    • 提供者:小毛
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. clock

    0下载:
  2. 本程序成功的描述了如何用vhdl完成对电子钟的设计,简单易懂,简洁明了-This procedure describes how to use the successful completion of the electronic clock vhdl design
  3. 所属分类:OS Develop

    • 发布日期:2017-05-28
    • 文件大小:11730815
    • 提供者:liuyunpeng
« 12 »
搜珍网 www.dssz.com