CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - cpld fpga

搜索资源列表

  1. beipin_quartII

    0下载:
  2. 在FPGA或CPLD上实现的一中非常实用的倍频电路,只要输入频率高,精度就很高-the CPLD or FPGA to achieve a very practical frequency circuit, as long as the input frequency, on the high precision
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:76235
    • 提供者:王石子
  1. shuma

    0下载:
  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例6-1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图6-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为 \"1101101\" 时,数码管的7个段:g、f、e、d、c、b、a分
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:206096
    • 提供者:张龙
  1. qq2

    0下载:
  2. Xilinx FPGA(CPLD) 下载电缆 原理图 -Xilinx FPGA (CPLD) download cable schematics Xilinx FPGA (CPLD) download cable schematic
  3. 所属分类:source in ebook

    • 发布日期:2017-04-05
    • 文件大小:18420
    • 提供者:古一
  1. cpld_key

    0下载:
  2. FPGA 实现独立式按键,每按一下数码管+1,数码管是静态显示
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-30
    • 文件大小:184259
    • 提供者:徐后乐
  1. UART_RS232(verilog)

    1下载:
  2. /本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:600285
    • 提供者:饕餮小宇
  1. UART_RS232(VHDL)

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:607493
    • 提供者:饕餮小宇
  1. BCD_ok-BCD

    0下载:
  2. Verilog 4位计时器,可以在CPLD开发板上成功运行-Verilog CPLD FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-05
    • 文件大小:214191
    • 提供者:猎狐
  1. UARTWISHBONECompatible---Downloads

    0下载:
  2. 16550 uart code lattice cpld fpga 已经验证-16550 uart ip core
  3. 所属分类:source in ebook

    • 发布日期:2017-05-03
    • 文件大小:713832
    • 提供者:zjc
  1. CPLD

    0下载:
  2. 主要是用于实现FPGA的配置,其是通过CPLD来实现,CPLD作为配置控制器。-Is mainly used to implement FPGA configuration, which is achieved through the CPLD, CPLD as a configuration controller.
  3. 所属分类:MPI

    • 发布日期:2017-04-24
    • 文件大小:260663
    • 提供者:吴林煌
  1. CAN-IP-Core

    0下载:
  2. CAN IP Core can硬件的IP核,用于cpld和fpga编程can接口-CAN IP Core
  3. 所属分类:assembly language

    • 发布日期:2017-05-04
    • 文件大小:119640
    • 提供者:liucl
  1. fpga

    0下载:
  2. 有关FPGA的好多资料的综合汇总,包括夏宇闻-Verilog经典教程,Verilog-testbench的写法,Altera+FPGA/CPLD设计高级篇,Altera+FPGA/CPLD设计基础篇等好几本书,超值-A comprehensive summary of a lot of information about FPGA, including Xia Wen-Verilog classic tutorial, Verilog-testbench writing, senior Alte
  3. 所属分类:source in ebook

    • 发布日期:2017-12-12
    • 文件大小:48264192
    • 提供者: libao
搜珍网 www.dssz.com