CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 书籍源码 搜索资源 - 乘法器

搜索资源列表

  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. mult8_rtl

    0下载:
  2. 一个用VHDL语言编写的乘法器程序,望大家多多支持啊。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1814
    • 提供者:毛江飞
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. design_of_GF_mul

    0下载:
  2. 所属分类:source in ebook

    • 发布日期:2017-04-13
    • 文件大小:1870
    • 提供者:石小磊
  1. butfly4

    0下载:
  2. 基4-FFT蝶形单元实现,按照FPGA内部的乘法器功能编写的-4-FFT butterfly-based unit to achieve, in accordance with the internal FPGA multiplier feature prepared
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1176
    • 提供者:苏菲
  1. bwcfq

    0下载:
  2. 纯组合逻辑构成的乘法器虽然工作速度比较快,但过于占用硬件资源,难以实现宽位乘法器,基于PLD器件外接ROM九九表的乘法器则无法构成单片系统,也不实用。这里介绍由八位加法器构成的以时序逻辑方式设计的八位乘法器,具有一定的实用价值,而且由FPGA构成实验系统后,可以很容易的用ASIC大型集成芯片来完成,性价比高,可操作性强。-err
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:1047
    • 提供者:makai
  1. VHDL

    0下载:
  2. VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1139139
    • 提供者:Michael
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. eda

    0下载:
  2. 利用vhdl设计fir滤波器,有完整程序, 包含加法器,乘法器。-Design using vhdl fir filter, a complete program, including adders, multipliers.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:186750
    • 提供者:黄林
  1. MUTIPLIER_16

    0下载:
  2. 16位乘法器的工程,用xilinx ISE设计,供初学者学习-16 multiplier works, the ISE xilinx design, for beginners to learn
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:919057
    • 提供者:皇天
  1. demo11-mlt1_vhdl

    0下载:
  2. 乘法器实验,按动S3,S4,S5,S6按键,可以将S3,S4,S5,S6相乘的结果在LED上显示-Multipliers experiments press S3, S4, S5, S6 keys, can be S3, S4, S5, S6 is the result of multiplying the LED display
  3. 所属分类:source in ebook

    • 发布日期:2017-11-15
    • 文件大小:226814
    • 提供者:霍赞
  1. signed_mult17b_addtree-

    0下载:
  2. 实现了17乘以17的带符号位的乘法器,采用流水结构,加法树结构-relize a multiplier by using add-tree and level archtiture.
  3. 所属分类:source in ebook

    • 发布日期:2017-11-21
    • 文件大小:1030
    • 提供者:徐高伟
  1. Chapter-2

    0下载:
  2. 3.1加法树乘法器add_tree_mult设计实例, 3.2查找表乘法器lookup_mult设计实例. 3.3布尔乘法器booth_mult设计实例 3.4移位除法器shift_divider设计实例 -3.1 adder tree multiplier add_tree_mult design example, 3.2 lookup table multiplier lookup_mult design examples. 3.3 Design Example 3.4 Bo
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:217206
    • 提供者:shixiaodong
  1. Chapter-3

    0下载:
  2. 3.1加法树乘法器add_tree_mult设计实例 3.2查找表乘法器lookup_mult设计实例 3.3布尔乘法器booth_mult设计实例 3.4移位除法器shift_divider设计实例-3.1 adder tree multiplier add_tree_mult design example 3.2 multiplier lookup_mult lookup table design example 3.3 Design Example 3.4 Boolean mu
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:463870
    • 提供者:shixiaodong
  1. Chapter-5

    0下载:
  2. 5.2 16位乘法器状态机实现 5.3 交通控制灯控制设计  5.4 PCI总线目标接口状态机设计-5.2 16 5.3 multiplier state machine traffic light control design 5.4 PCI bus target interface state machine design
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:374716
    • 提供者:shixiaodong
  1. multi-verilog

    0下载:
  2. 乘法器。fft。 基2.蝶形运算。旋转因子-Multipliers. fft. Group 2 butterfly. Twiddle factor
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:743
    • 提供者:随身
  1. Proj2_final

    0下载:
  2. 2 4 8级流水线乘法器 以及 除法器 包括makefile 和 tcl 比较详细-248 stage pipeline multiplier and divider includes more detailed makefile and tcl
  3. 所属分类:source in ebook

    • 发布日期:2017-04-29
    • 文件大小:24103
    • 提供者:曹远航
搜珍网 www.dssz.com