CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 WEB源码 搜索资源 - vhdl

搜索资源列表

  1. adcdac_vhdl_quartus

    0下载:
  2. 简易示波器的VHDL程序,可以应用,开发环境QUARTUS,实验箱为GW48
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:149496
    • 提供者:在路上
  1. song_vhdl_quartus

    0下载:
  2. 用VHDL演示MUSIC的程序,可以应用,开发环境QUARTUS,实验箱为GW48
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:415972
    • 提供者:在路上
  1. VhdlGoldenReferenceGuide

    0下载:
  2. Vhdl Golden Reference Guide.pdf
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:184708
    • 提供者:梁强
  1. szzsybk

    0下载:
  2. vhdl设计的简易数字钟,里面有报告的模板,设计思想,设计图,模块代码,简单易懂。
  3. 所属分类:WEB源码

    • 发布日期:2014-01-17
    • 文件大小:283166
    • 提供者:天涯
  1. DCT

    2下载:
  2. 一种改进的一维DCT方案设计与实现,采用VHDL硬件语言描述,DCT以及IDCT
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:313221
    • 提供者:小金
  1. clock

    0下载:
  2. 万年历-八音自动播放电子琴设计 vhdl源码,文件内有具体注释
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:332184
    • 提供者:JK
  1. 11

    0下载:
  2. 信道编码的实质是在信息码中增加一定数量的多余码元(称为监督码元),使它们满足一定的约束关系,这样,由信息码元和监督码元共同组成一个由信道传输的码字。一旦传输过程中发生错误,则信息码元和监督码元间的约束关系被破坏。在接收端按照既定的规则校验这种约束关系,从而达到发现和纠正错误的目的。它在数字通信方面得到了广泛的应用。本文将简要介绍信道编码原理及其应用,并详细介绍本次设计所用到的(7,4)汉明码的编码译码原理、DPSK调制解调的原理并在MAXPLUS II上用VHDL语言实现。
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:478528
    • 提供者:赵冉
  1. fir

    0下载:
  2. 线性相位FIR滤波器(17阶)的VHDL语言设计 功能很强大,很好用
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:148431
    • 提供者:jingjing
  1. atrafficlightrealizedbyFPGA

    0下载:
  2. 一篇用VHDL实现的交通灯设计,具有灯种显示和倒计时功能
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:860560
    • 提供者:Roger
  1. DE2_NIOS_DEVICE_LED

    0下载:
  2. FPGA VHDL PROGRAM DE2_NIOS_DEVICE_LED
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-05-16
    • 文件大小:4269981
    • 提供者:Aleks
  1. readandwrite

    1下载:
  2. 三星k9系列flash memory读写程序-K9 Series Samsung flash memory to read and write procedures
  3. 所属分类:FlashMX/Flex

    • 发布日期:2016-12-01
    • 文件大小:1564
    • 提供者:晓婕
  1. Flash_controller

    0下载:
  2. sharp flash controller
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-04-06
    • 文件大小:9319
    • 提供者:Vijay Baraiya
  1. Java

    0下载:
  2. 用Java语言编写的手机网络版象棋游戏,可适用于各大品牌手机制造-VHDL
  3. 所属分类:Java Develop

    • 发布日期:2017-04-16
    • 文件大小:448597
    • 提供者:
  1. Nand_verilog

    0下载:
  2. NAND flash also uses floating-gate transistors, but they are connected in a way that resembles a NAND gate: several transistors are connected in series, and only if all word lines are pulled high (above the transistors VT) is the bit line pulled low.
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-29
    • 文件大小:870291
    • 提供者:anirudhh
  1. 29782187DE2_NIOS_Lite_12_flash

    0下载:
  2. 能够使VHDL语言下载到FLASH中,从而显示在LCD上-VHDL
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-05-02
    • 文件大小:583035
    • 提供者:吴发飞
  1. count10

    0下载:
  2. 十进制计数器 自己尝试编辑的,可以-jk flip-flop, try to edit their own, using state machine to achieve, you can-Decimal counter his attempt to edit, and can-jk flip-flop, try to edit their own, using state machine to achieve, you can
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-28
    • 文件大小:106137
    • 提供者:liu jian ming
  1. alidisk.com_daima

    0下载:
  2. 此系列 不完全统计大概100多部 能见到得资源包括标清高清的有50部以上了。 慢慢更新咯! -VHDL此系列 不完全统计大概100多部 能见到得资源包括标清高清的有50部以上了。 慢慢更新咯!
  3. 所属分类:Java Develop

    • 发布日期:2017-04-16
    • 文件大小:305744
    • 提供者:郑路
  1. javafr_GENERATION-CODE-VHDL-FILTRE-NUMERIQUE___Pa

    0下载:
  2. java gode help help as begining
  3. 所属分类:Java Develop

    • 发布日期:2017-04-03
    • 文件大小:213425
    • 提供者:Ghazi
  1. e02

    0下载:
  2. flash鼠标跟随粒子效果,使用flash混合效果制作。-flash mouse to follow the particle effects, mixed effects production to use flash.
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-31
    • 文件大小:17020
    • 提供者:taodezheng
  1. sd.vhdl

    0下载:
  2. FLASH MEMORY CONTROLLER TO EMBEDDED PRODUCTS
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-11-20
    • 文件大小:2036
    • 提供者:manju
« 1 23 »
搜珍网 www.dssz.com