CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 信号

搜索资源列表

  1. 1

    0下载:
  2. 摘要:基于单片机的数控开关电源是利用单片机实现对开关电源的输出电压设定、输出电压步进调整、输出电压和输出电流显示等数字控制。本文设计的数控开关电源由两部分组成。开关电源部分采用基于PWM控制的不对称半桥功率变换器,由模拟控制芯片KA3525产生PWM信号经驱动电路实现对功率变换电路的输出电压控制,实现电压的稳定输出。数控部分采用凌阳单片机的D/A输出对KA3525的误差比较器的参考端进行数字给定,实现对输出电压的设定、步进调整和显示等功能。文中给出了系统设计框图,对各部分电路进行了分析,并给出了
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:139899
    • 提供者:徐楠
  1. DSK2812

    0下载:
  2. 这是最新的数字信号处理芯片tms2812系列的原理图,应该会有用的-This is the latest digital signal processing chip tms2812 series of schematic diagram, it should be used
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:91618
    • 提供者:韩明
  1. xinhao

    1下载:
  2. 信号与系统的电子书,作者刘树棠,可作为学习信号的辅助书籍-Signals and systems e-book, the author Liu Shutang can be used as supplementary books to study signal
  3. 所属分类:Communication

    • 发布日期:2017-06-03
    • 文件大小:14940846
    • 提供者:宋虎擎
  1. HB96FHB96N

    0下载:
  2. 转速测量电路,可测量霍尔传感器、编码器、光电传感器的信号输出,具有1路继电器输出-Speed measuring circuit, measurable, Hall sensors, encoders, photoelectric sensor signal output, with a road relay output
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1789290
    • 提供者:李连骏
  1. safjfd

    0下载:
  2. 首先分析了典型说话人识别系统的各关键技术,详细分析了矢量量化技术在 说话人识别中的应用,研究了码本训练算法以及说话人判别算法,对算法中各参 数值的选取进行了讨论 其次根据系统的需求建立一个小的语音库,录制语音信 号,并对采集的语音信号进行预处理,检测语音信号的起始端点 在MATLAB 环境下仿真说话人识别系统,验证系统设计方案的可行性:特征提取阶段,提取 语音信号的12阶美尔倒谱系数以及各阶倒谱系数对应的1阶差分倒谱系数,在 训练阶段,采用分裂法和GLA算法相结合的矢量量
  3. 所属分类:Document

    • 发布日期:2017-05-15
    • 文件大小:3647932
    • 提供者:于高
  1. smki

    0下载:
  2. 51单片机 采集电梯楼层信号的应用。超级简单-51 Microcontroller elevator floor signal acquisition applications. Super Simple
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:397954
    • 提供者:张红锦
  1. zd

    0下载:
  2. 在INT0和INT1上分别接了两个可回复式按钮,其中INT0上的按钮每按下一次则计数加一,其中INT1上的按钮每按下一次则计数减一。P1.0~ P1.3接LED灯,以显示计数信号
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:8979
    • 提供者:lieng
  1. really_DL_OPH_esprit

    0下载:
  2. 此算法实现了信号的正真esprit估计,仿真效果很好-esprit
  3. 所属分类:Document

    • 发布日期:2017-04-01
    • 文件大小:1355
    • 提供者:肖雨
  1. matlab

    0下载:
  2. matlab 用DFT实现长序列卷积并用FFT进行信号谱分析-matlab convolution with the DFT and used to achieve a long sequence of FFT for signal spectral analysis
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:1110
    • 提供者:刘彦龙
  1. tiaozhi

    0下载:
  2. 用NIIOS写串口控制程序,控制FPGA及外部D/A,同时产生函数调制信号-Write serial port control program with NIIOS, control FPGA and the external D/A, while producing function modulated signals
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7261153
    • 提供者:keanqi
  1. CDMA

    0下载:
  2. 本此设计主要目的是掌握并验证多址技术的相关内容,不涉及移动业务的相关技术。通过学习CDMA宽带无线通信技术,掌握直接序列扩频通信的基本理论,掌握基于LabVIEW的通信系统基本设计和仿真方法,利用LabVIEW设计一个包括发送模块和接收模块在内的简单CDMA基带通信系统,实现信号的码分多址,扩频发送和同步接收等功能。-failed to translate
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:219348
    • 提供者:张玉荣
  1. 89C51

    0下载:
  2. 详细介绍设计采用89C51的信号发生器的方案与设计思路-Detailed design of the signal generator using 89C51 programs and design ideas
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:84938
    • 提供者:agwtpcbox
  1. 1

    0下载:
  2. 在这篇文章中主要介绍了DSP-PLL,使用数字信号处理器完成,很好的IEEE的资料,希望有用-In this article mainly introduces the DSP-PLL, the use of digital signal processor complete, very good information on IEEE hope that useful
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:244543
    • 提供者:小孙
  1. dspembeddedapply-05

    0下载:
  2. DSP嵌入式系统开发典型案例 第五章 语音信号去噪和编码系统设计-DSP Embedded Systems Development Case Chapter V speech signal denoising and coding system design
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:865498
    • 提供者:Zhao Qiang
  1. bookMATLAB6x

    0下载:
  2. 本书详细地介绍了MATLAB6的基本知识以及在数字信号处理中的应用。很好的一本MATLAB书,大家一起分享。 -This book give the introduction of MATLAB6 s basic knowledge and its usage in digital signal processing. It is really a good book,share with all of you.
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:6769122
    • 提供者:何通
  1. dsp5000fft

    0下载:
  2. 正弦余弦阵列放在twiddle1、2 输入信号(方波).copy mdata 直接打开pjt文件 生成out文件即可看0x9000(program页)的频谱-Sine cosine arrays on twiddle1, 2 input signal (square wave). Copy mdata file generation out directly to open the file pjt able to see 0x9000 (program pages) of the
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:5819
    • 提供者:连续剧
  1. lms_matlab

    0下载:
  2. 本文提供了matlab实现的lms自适应滤波源代码以及结果分析,对不同的仿真波形进行了比较并对结果进行了详尽的分析,学习信号处理的同学可以参考一下-This article provides an implementation of the matlab source code for lms adaptive filtering, and analyzing the results of the simulation of different waveforms and the results
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:155235
    • 提供者:chaolong
  1. SVPWMsignalgeneratoroftheVHDLimplementation

    0下载:
  2. SVPWM信号发生器的VHDL实现,收费硕士论文,文章详细研究了SVPWM波的VHDL实现方法.-SVPWM signal generator of the VHDL implementation, charging master paper, the article detailed study of the SVPWM wave VHDL implementations.
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2879433
    • 提供者:Jiang Guangxiu
  1. xinalvbozaiqiupinyu

    0下载:
  2. 对文本格式的信号先滤波再求频域,便于在神经网络中应用,这是用matlab做的,很有用。-The text format, the signal first and then seek the frequency domain filtering
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:38185
    • 提供者:
  1. LabVIEWrS232SendCmd

    0下载:
  2. LAB View Send Command via RS-232
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:127318
    • 提供者:dark
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com