CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 数字钟

搜索资源列表

  1. data_clock

    0下载:
  2. 基于verilog 的数字钟设计过程,含有详细的代码和解释。-Based on the design process verilog digital clock contains a detailed code and explanation.
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:22288
    • 提供者:maxruan
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. shuzizhong

    0下载:
  2. 用quarstus ii搭建的数字钟,已经全面验证过,希望对大家有用!-good enjoy
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:52888
    • 提供者:开发
  1. 8051clock

    0下载:
  2. 基于8051单片机的数字钟设计方案及代码,适用于做课设的大学生-Based on 8051 digital clock design and code for class-based college students do
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:475152
    • 提供者:yuanxiaodong
  1. cpld

    0下载:
  2. CPLD与电子CAD报告 VHDL中的并行语句、进程 信号、变量、顺序语句 分频器、计数器、译码器、状态机 数字钟综合设计-CPLD and VHDL electronic CAD report in parallel statement, the process signals, variables, sequential statements divider, counter, decoder, an integrated digital clock state machine des
  3. 所属分类:Communication

    • 发布日期:2017-05-15
    • 文件大小:3920331
    • 提供者:何源
  1. digital-clock-and-traffic-light

    1下载:
  2. 课题一是设计一个可控的100进制可逆计数器。课题二是设计交通灯控制系统在QuartusⅡ软件环境下,进行仿真实验和硬件下载,获得的测试结果满足设计要求。课题三是设计多功能数字钟系统(层次化设计。-The subject one is to design a controllable 100- band reversible counter. Subject two is the design of the traffic light control system in the Quartus I
  3. 所属分类:Project Design

    • 发布日期:2015-12-10
    • 文件大小:4992000
    • 提供者:攻城狮
  1. VHDL_doc

    0下载:
  2. VHDL入门的程序,包括数码管显示,交通灯的实现,多功能数字钟,数字频率计等-VHDL entry procedures, including digital display, realize traffic lights, multifunction digital clock, digital frequency meter, etc.
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:155252
    • 提供者:钟绵
  1. Ch05_07_01

    1下载:
  2. 基于LPC1768重复定时器实现的数字钟实例,利用其重复定时器实现一个数字钟功能-Based on LPC1768 repeated instances of digital clock timer, and use a timer, repeated digital clock function
  3. 所属分类:Software Testing

    • 发布日期:2017-04-30
    • 文件大小:121454
    • 提供者:郭韵达
  1. cpld

    0下载:
  2. 基于cpld的可调数字钟,实现计时,调时、整点报时的功能-cpld clock
  3. 所属分类:software engineering

    • 发布日期:2017-05-01
    • 文件大小:74235
    • 提供者:yeli
  1. Simple-digital-clock-design

    0下载:
  2. 简单数字钟应该具有显示时-分-秒的功能。首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转。-It should have a simple digital clock display- minutes- seconds function. We must first know the working mechanism of clocks, watches the entir
  3. 所属分类:File Formats

    • 发布日期:2017-04-29
    • 文件大小:271876
    • 提供者:li
  1. degital-clock

    0下载:
  2. 有关于数字钟控制系统的程序,模块化设计,简单明了,欢迎下载。-About the control system of digital clock procedures, modular design, simple and clear, welcome to download.
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:997862
    • 提供者:车金鸽
  1. EDA实验程序

    0下载:
  2. VHDL语言编写简单EDA实验程序,如数字钟,,译码器,,动态扫描数码管(VHDL language, simple EDA experimental procedures)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-18
    • 文件大小:15360
    • 提供者:折叠时光
« 1 2 3 4»
搜珍网 www.dssz.com