CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 数据转换

搜索资源列表

  1. VC常见数据类型转换详解 .rar

    0下载:
  2. 所属分类:文档资料

    • 发布日期:
    • 文件大小:4787
    • 提供者:
  1. TYPE_CONVERT

    0下载:
  2. 关于数据类型转换的一些总结,相当多的种类,很不错,只要你想用的,几乎都有了-on data type conversion of some conclusions, a considerable number of categories, very good, as long as you want to use, and almost all of the
  3. 所属分类:编程文档

    • 发布日期:2012-10-22
    • 文件大小:28824
    • 提供者:张桦
  1. analog432

    1下载:
  2. 以C2051单片机为核心,采用TLC2543 12位串行A/D转换器,设计了一个串行数据采集/传输模块,给出了硬件原理图和主要源程序-to C2051 microcontroller core, using TLC2543 12 serial A / D converters, Design of a serial data collection / transmission module provides the hardware schematic and source code
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:26539
    • 提供者:杨柳
  1. Learning_MISRAC_Criterion

    0下载:
  2. 嵌入式系统应用工程师借用计算机专家创建的C语言,使嵌入式系统应用得以飞速发展,而MISRAC是嵌入式系统应用工程师对C语言嵌入式应用做 出的贡献。如今MISRA C已经被越来越多的企业接受,成为用于嵌入式系统的C语言标准,特别是对安全性要求极高的嵌入式系统,软件应符合MISRA标准。 本文由清华嵌入式系统研究专家,邵贝贝教授领衔执笔,分六讲,跟读者一起学习MISRAC。 第一讲:“‘安全第一’的C语言编程规范”,简述MISRAC的概况。   第二讲:“跨越数据类型的重重陷阱”,介绍
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:60755
    • 提供者:孙斌
  1. lcx_LINUX-mlock

    0下载:
  2. LINUX系统调用mlock的代码分析,在LINUX中,每一个用户进程都可以访问4GB的线性虚拟内存空间。其中从0到3GB的虚拟内存地址是用户空间,用户进程可以直接对其进行访问。从3GB到4GB的虚拟内存地址为核心空间,存放仅供核心态访问的代码和数据,用户进程不可访问。当用户进程通过中断或系统调用对其访问时,就会触发处理器的特权级转换(从处理器的特权级3切换到特权级0),即从操作系统的用户态切换到核心态。-Linux system call mlock code analysis, LINUX,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:114696
    • 提供者:liyu
  1. Godson1

    1下载:
  2. 龙芯一号的数据手册! 通用32 位微处理器,支持MIPS-III 指令 主频为200~266MHZ 基于操作队列复用的高效7 级标量流水线 高效的64 位浮点流水单元 浮点性能220 MFLOP @250MHz 内置MMU、TLB 实现从程序虚拟地址到CPU物理地址的转换-Godson manual data on the 1st! Definitive 32 microprocessor, support MIPS-III Directive megabyte of 2
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:313700
    • 提供者:lsj
  1. xsxxxt

    0下载:
  2. 摘 要 I Summary II 1.系统概述 1 1.1 系统及需求分析 1 1.1.1 系统需求 1 1.1.2可行性分析 1 1.2系统设计的背景 5 1.3系统的功能简介 8 1.4系统开发的目标 10 2. 系统分析 11 2.1业务流程分析 11 2.2数据流程分析: 13 2.3数据存储分析:实体联系图 14 2.4功能分析:功能层次图 16 3.系统设计 17 3.1软件模块结构设计 17
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382721
    • 提供者:小曾
  1. XMLtodatabase

    0下载:
  2. 文章介绍了从XML数据到任意关系数据库转换的一般过程,原理,方法等技术内容-article describes the XML data from any relational database to change the general process and the principles and methods of technical content
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:10302
    • 提供者:huang
  1. weijiyuanli

    0下载:
  2. 2位微机实验指导书 华中科技大学计算机学院 武汉市豪申光电新技术有限公司 第一章 基本接口实验 2 第一节 并行接口实验(8255芯片实验) 2 实验一 步进电机控制接口实验 2 第二节 定时/计数实验(8253芯片实验) 9 实验二 音乐发生器接口实验 9 第三节 串行通信接口实验(8251芯片实验) 21 实验三 RS-232标准全双工查询方式异步串行通信
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1618051
    • 提供者:xiak
  1. VerilogHDL_p2s_s2p

    0下载:
  2. 在微型计算机系统中, CPU与外部的基本通信方式有两种,一种是并行通信即数据的各位同 时传送,其优点是传输速度较快,但数据有多少位就需要多少条传送线 而串行通信中数据一位一位顺序传 送,能节省传送线. 用Verilog HDL语言实现了串并、并串通信接口之间的转换
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:372786
    • 提供者:陈东
  1. PLC

    0下载:
  2. 一:硬件结构框图 在远程网络温度测控系统的中,硬件电路的核心是欧姆龙CPM2A型号的PLC,主要包括数据采集电路、放大电路、A/DD/A转换电路、控制电路等组成。
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:1602140
    • 提供者:画眉
  1. RLC

    0下载:
  2. 把R、L、C转换成频率信号f,转换的原理分别是RC振荡电路和LC电容三点式振荡电路。单片机计数得出被测频率,由该频率计算出各个参数值,数据处理后,送显示。
  3. 所属分类:软件工程

    • 发布日期:2014-01-17
    • 文件大小:405255
    • 提供者:建国
  1. tushuguan

    0下载:
  2. 图书馆管理信息系统 1 需求分析 2 1.1 系统背景分析 2 1.2图书管理业务流程图 4 1.3数据流程图 7 1.4数据字典 11 1.4.1 数据项描述 11 1.4.2 数据结构 11 1.4.3 数据流的描述 11 1.4.4 处理逻辑的描述 20 1.4.5 数据存储的描述 23 1.4.6 外部实体的描述 25 2 概念模型设计 25 2.1实体图 25 2.2 实体—联系图 27 2.3 数据库概念模型 28 3
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:440987
    • 提供者:sd
  1. ADuC812

    0下载:
  2. ADuC812 是带有8 位可编程微控制器、多通道ADC、双数/ 模转换器(DAC) 的高集 成度12 位数据采集系统芯片。本文详细介绍了该芯片的功能特点和工作原理,并指出了 应用范围。(ADuC812中文资料)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:84502
    • 提供者:杨宏
  1. USB_AD

    0下载:
  2. 基于USB的高精度数据采集系统设计与实现,涉及AD转换和USB传输
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:673497
    • 提供者:郑宏超
  1. FT232R_USB_RS232

    0下载:
  2. 通用串行总线(USB)以其传输速度快、占用资源少、真正的即插即用等优点正在逐步成为通用的计算机与外设的接口而取代传统的RS232总线。以传统的RS232接口为数据通信通道的外部设备与PC的通信面临一个转换的问题。该文介绍的是基于FT232R芯片实现USB和RS232之间的转换模块的设计。使用此芯片优点是数据收发和协议转换工作全部由芯片独立完成,而无需干预。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:410905
    • 提供者:郑宏超
  1. DP5020-V2

    0下载:
  2. DP5020是LED显示面板设计的驱动IC,它内建的CMOS位移寄存器与锁存功能,可以将串行的输入数据转换成平行输出数据格式。(DP5020 is the driving IC of LED display panel design. It has built-in CMOS shift register and latch function, which can transform serial input data into parallel output data format.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-18
    • 文件大小:378880
    • 提供者:伟大95
  1. Delphi数据封包数据与C#DataSet数据集的互转

    2下载:
  2. 鉴于Delphi在windows桌面开发程序的优秀表现,发挥Dephi桌面开发的余威,将C#的DataSet数据集数据转换成Delphi的数据封包,已便充分利用Delphi在windows桌面开发程序的优越性,同时利用好已有开发出来的C#DataSet数据集,对于企业之前有使用到Dephi开发的应用程序,平滑的过渡到.net开发的系统来说,是有非常重要意义的。由于当前关于这方面的文章介绍相当少,为此,作者将就两者之间的互换进行详细介绍,力求大家都能快速的实现将C#DataSet的数据集转换为De
  3. 所属分类:编程文档

  1. 射频仿真系统

    0下载:
  2. 在雷达信号处理机的设计研发过程中,为了保证系统的性能指标要求,往往需要 进行大量的外场测试试验。本文针对雷达信号处理机在测试过程中所必需面对的测试 周期长、测试场景选择困难、测试投入经费过高等问题,设计研发了一款高性能、小 型化的雷达回波模拟器,该雷达回波模拟器采用全可编程片上系统(All Programmable SoC)ZYNQ-7000 作为主控核心单元,采用高性能数据转换串行接口 JESD204B 进行 数据传输。针对不同应用场景和不同体制的雷达信号处理机,分别对高性能 DA
  3. 所属分类:编程文档

  1. CS5265数据手册

    0下载:
  2. CS5265规格书, CS5265说明书,CS5265数据手册, TYPEC转HDMI2.0转换
  3. 所属分类:技术管理

    • 发布日期:2021-07-02
    • 文件大小:355109
    • 提供者:qq2755130042
« 1 2 3 45 6 7 8 9 10 11 »
搜珍网 www.dssz.com