CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 时钟

搜索资源列表

  1. dsp

    0下载:
  2. 由于微电子技术的高速发展,由IC芯片构成的数字电子系统朝着规模大、体积小、速度快的方向飞速发展,而且发展速度越来越快。新器件的应用导致现代EDA设计的电路布局密度大,而且信号的频率也很高,随着高速器件的使用,高速DSP(数字信号处理) 系统设计会越来越多,处理高速DSP应用系统中的信号问题成为设计的重要问题,在这种设计中,其特点是系统数据速率、时钟速率和电路密集度都在不断增加,其PCB印制板的设计表现出与低速设计截然不同的行为特点,即出现信号完整性问题、干扰加重问题、电磁兼容性问题等等。-err
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:7155
    • 提供者:王建伟
  1. VB

    1下载:
  2. 从太平洋上下载下来的打包学习VB的教程。 》VB专区 ·Visual Basic 的数据库编程 ·使用VB建立Web Server   ·VB与Windows资源管理器互拷文件 ·VB查找文件的两种方法 ·利用VB设计打印复杂报表 ·在VB中播放AVI文件 ·在VB中引用.dbf及索引文件  ·VB5.0中实现字体闪烁效果 ·在VB应用软件中实现动画效果 ·VB编程中如何锁定鼠标 ·用VB实现关闭所有数据库对象 ·VB调用
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:386036
    • 提供者:look
  1. GA_missile_interpt_anti_vessel_simulation

    0下载:
  2. 对舰空导弹拦截反舰导弹作战过程进行分 析,然后应用离散事件仿真理论,通过实体流图法建立仿真模型,采用事件调度法推进仿真时钟前进,使用概率统计方法对 仿真结果进行处理并得出结论。 -On ship-to-air missiles to intercept anti-ship missiles operational process analysis, and then the application of discrete event simulation theory, throug
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:143999
    • 提供者:木木
  1. time

    0下载:
  2. 时钟代码 ,暂时没有实现闹钟功能 ,还得改进-Clock code, the temporary absence of an alarm clock function, but also to improve
  3. 所属分类:Communication

    • 发布日期:2017-04-14
    • 文件大小:4007
    • 提供者:毛毛
  1. VHDLpipeline

    0下载:
  2. 流水线实现圣经,可以大幅度提高系统时钟指标,可以提高编程水平-Pipeline to achieve the Bible, can greatly improve the system clock indicators, can increase the level of programming
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:147363
    • 提供者:陈信
  1. worldTimespecification

    0下载:
  2. 对实现的时钟软件功能进行说明并详细说明了设计思路与方法-To realize the clock software features are described and a detailed descr iption of the design ideas and methods
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:92244
    • 提供者:菜橘
  1. clock

    0下载:
  2. 可以用来显示时钟的走向,就相当于一个简单的电子钟。-Can be used to show the direction of the clock, it is equivalent to a simple electronic bell.
  3. 所属分类:Document

    • 发布日期:2017-04-12
    • 文件大小:667
    • 提供者:张雪
  1. clk4

    0下载:
  2. clk4 时钟分频设计用于FPGA入门设计-clk4 clock divider is designed for FPGA design entry
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:14168
    • 提供者:lijie
  1. cardesigner

    0下载:
  2. 本汽车远近光灯智能控制系统分为远光灯和近光灯光强检测部分、汽车环境光强检测并自动调光部分、时钟检测智能开启灯光部分、液晶显示远近光灯亮灭情况部分、主动按键自由控制部分。整个系统主要以模拟电路为主,配合数字电路控制,全系统通过AT89S52单片机进行全局控制,利用光敏电阻进行可靠的可见光光强检测。通过论证,本系统能够很好地实现汽车的灯光亮度调节和远近光灯的转换。-The Auto Distance Headlight Automatic Monitoring Electrical System
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:113487
    • 提供者:方荣
  1. suoxianghuan

    0下载:
  2. 在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。-In the data acquisition system, the phase-locked loop is a very useful synchronization technology, because the adoption of phase-locked loop, you can make the different data acquisition boards
  3. 所属分类:Document

    • 发布日期:2017-04-11
    • 文件大小:1407
    • 提供者:hellen
  1. vhdlandclock

    0下载:
  2. 已完成功能 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,又扬声器发出报时声音; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; -Has completed the function 1. Completed second/min/when followed by display and count right 2. Second/min/h o
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:95468
    • 提供者:张宝琳
  1. AD9854

    2下载:
  2. AD9854允许输出的信号频率高达150MHZ,而数字调制输出频率可达100MHZ。通过内部高速比较器正弦波转换为方波输出,可用作方便的时钟发生器。-AD9854 allows the output signal frequency up to 150MHZ, and digital modulation output frequency up to 100MHZ. Through an internal high-speed comparator is converted to square
  3. 所属分类:software engineering

    • 发布日期:2016-07-23
    • 文件大小:8062
    • 提供者:朱重生
  1. wannianli

    0下载:
  2. 一个用JAVA实现的万年历程序,功能齐全,并加有数字时钟 -a program for java lover
  3. 所属分类:Project Design

    • 发布日期:2017-04-14
    • 文件大小:5492
    • 提供者:lusong
  1. MCU-basedsmartclock

    0下载:
  2. 这是基于AT89C51和X5045的智能时钟的设计 包含有程序设计和较完整的论文报告 喜欢的请下载-This is AT89C51 and the X5045-based intelligent design contains clock programming and have a more complete report of the thesis, please enjoy the download
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:160087
    • 提供者:舒文
  1. MAX6902

    0下载:
  2. SPI RTC MAX6902实时时钟的驱动和应用笔记,及其驱动代码,网上很少关于SPI 的,大家参考一下,一定要8个字节一起写。-SPI RTC MAX6902 RTC driver and application notes, and the driver code, on-line small on the SPI, we refer to, we must write 8 bytes together.
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:205172
    • 提供者:andyedward
  1. RTC

    0下载:
  2. RTC时实时钟的ARM描述-RTC clock when the ARM real-time descr iption of
  3. 所属分类:File Formats

    • 发布日期:2017-04-15
    • 文件大小:7845
    • 提供者:梁竹
  1. 001

    0下载:
  2. 时钟电路程序代码,从12点整开始计时,可以调整时间.有三个按钮.-asm file
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:3076
    • 提供者:yond1986
  1. shizhong

    0下载:
  2. 单片机做时钟 利用keil uvision3 编程 双龙下载器-Single-chip clock to do programming using keil uvision3 Downloader Shuanglong
  3. 所属分类:File Formats

    • 发布日期:2017-04-11
    • 文件大小:799
    • 提供者:fan
  1. clock

    0下载:
  2. 通过实验,熟悉如何设置系统主时钟、辅助时钟、子系统时钟-Through experiments, and is familiar with how to set up the system master clock, auxiliary clock, sub clock
  3. 所属分类:Communication

    • 发布日期:2017-04-12
    • 文件大小:549
    • 提供者:ZIJI
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
« 1 2 3 4 5 6 78 9 10 11 12 ... 29 »
搜珍网 www.dssz.com