CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 智力

搜索资源列表

  1. FPGA

    1下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:490205
    • 提供者:滕莹
  1. chengxu

    0下载:
  2. 设计制作一个可容纳4组参赛者的数字智力抢答器,每组设置一个抢答按键; 电路具有一第一抢答信号的鉴别和锁存的功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答键,则该组指示灯亮并用组别显示抢答者的组别。此时,电路具有自锁功能,使别组的抢答开关不起作用。 设置计分电路。每组在开始时预置成6分,抢答后主持人计分,答对一次加1分。-The design can accommodate a the Entrants digital intellectual Responder, each s
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:4864
    • 提供者:何婕婕
  1. ProgrammerinterviewBaodian

    0下载:
  2. 揭开知名IT企业面试、笔试的核心机密,传授程序员岗位求职的关键技巧、传递快乐工作的精神与态度。   本书涉猎各大IT公司历年面试真题(包括笔试题、口试题、电话面试、英语面试,以及逻辑测试和智力测试),通过精确详细的分在,把在应聘程序员(含网络、测试等)过程中所遇见的常见考点为你一一点破。-Open a well-known IT enterprises interviews, written tests the core secrets, teach programmers the key
  3. 所属分类:software engineering

    • 发布日期:2017-05-17
    • 文件大小:4337789
    • 提供者:zhangjingyu
  1. MicrosoftIBMTest

    0下载:
  2. 微软IBM技术面试题目,包括智力测验。部分题目给出详解及答案。没给出答案的题目罗列了各大论坛讨论的结果。不失为面试大型IT公司的参考资料-Microsoft interview IBM technical topics, including intelligence tests. Explain part of the topic and answers given. The subject did not answer a list of forums to discuss the major
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:445297
    • 提供者:龙丝毫
  1. 456767566

    0下载:
  2. 飞机飞行游戏演示代码,1.界面美观大方 2.电脑智能下棋 3.有多种难度选择 4.内带黑白棋规则教程 5.电脑会有响应提示,与玩家进行互动 6.集娱乐性,智力多种元素于一身 ...查看 -jaoifsjgjsgjdgjjgjhgfr7i6dtui
  3. 所属分类:Document

    • 发布日期:2017-05-11
    • 文件大小:2445857
    • 提供者:江永
  1. 111

    0下载:
  2. 智力抢答器的设计与分析智 力抢答器的设计与分析-kdfldk ddfsdgg gydsg sdg dgdgdgdgdgdgdf
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:587284
    • 提供者:zhang
  1. chen

    0下载:
  2. 智力抢答器 加减计数 抢答装置-Answer intellectual browser
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:37632
    • 提供者:chen
  1. 13nx

    0下载:
  2. 改变世界的13位女性 第01章 自尊、自我形象和自信 第02章 孩次序数,童年迁居,榜样和良师益友 第03章 教育、智力和知识 第04章 创造性叛逆者踏入无人问津之地 第05章 事业胜过个人生活 第06章 危机、狂热和创造力 第07章 个性特征和气质 第08章 玛丽·凯·阿什 第09章 玛丽亚·卡拉斯 第10章 丽莎·克莱伯恩 第11章 简·芳达 第12章 克斯蒂·劳达 第13章 麦当娜——精神的性驱动者 第14章 哥达·梅 第15章 
  3. 所属分类:IT Hero

    • 发布日期:2017-04-05
    • 文件大小:380079
    • 提供者:ccg
  1. inspire

    0下载:
  2. 个人奋斗经历,每个人的智力基本一样,不一样的只是努力程度。-Personal life experiences, each person essentially the same intelligence, not just the same level of effort.
  3. 所属分类:IT Hero

    • 发布日期:2017-04-16
    • 文件大小:16063
    • 提供者:王霞
  1. 3

    0下载:
  2. 题目要求设计一个用于智力竞赛的抢答计时器。主持人按下启动钮,开始抢答,参赛方(八方)看到允许抢答信号后分别按不同的抢答按钮参与抢答。一旦其中一方按下按钮,相应的抢答者编号显示在屏幕上,此时若其他按钮按下均无效。若无一人按下按钮,则抢答结束(以后按抢答无效)。③ 若温度值越界则进行声(蜂鸣器)、光(发光二极管)报警; 说明:界限值是自行设定的(26C),其所对应的数字量为十六进制数(0180H)。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:105791
    • 提供者:
  1. tusousuo

    0下载:
  2. 状态图实际上是一类问题的抽象表示。事实上,有许多智力问题(如梵塔问题、旅行商问题、八皇后问题、农夫过河问题等)和实际问题(如路径规划、定理证明、演绎推理、机器人行动规划等)都可以归结为在某一状态图中寻找目标或路径的问题。因此,研究状态图搜索具有普遍意义。 -State diagram is actually a class of abstract representation of the problem. In fact, there are many mental problems (s
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1251834
    • 提供者:菲菲
  1. hafozhili

    0下载:
  2. 作为程序员,你的智力如何?----哈佛考考你的智力!-As a programmer, your intellect to?---- Harvard test to your intelligence!
  3. 所属分类:IT Hero

    • 发布日期:2017-05-18
    • 文件大小:4744914
    • 提供者:阿毛爹
  1. 223

    0下载:
  2. 本文介绍了四路智力抢答器的分模块设计电路及各模块的逻辑功能及其电路和波形展示。所设计的抢答器除了基本的锁存和复位功能外,还有其它功能:席位灯亮且扬声器响提示抢答成功;数码显示选手号码和两个倒计时(抢答和回答);倒计时可设定(根据需要对计数器置数);抢答倒计时可暂停,对同一道题可多次抢答直至计时归零时响警报;回答倒计时有5秒倒计时警报等。这些功能都使得该器件更加贴近现实,更加智能化、人性化-In this paper, it introduces that 4-wire answering dev
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:679227
    • 提供者:杨汉轩
  1. GAME

    0下载:
  2. 本款游戏以大学生活为背景,其中穿插有答题,选择,连线等多种智力考验,更加有搞笑漫画,与传统的手机游戏相比,风格焕然一新。加之整个游戏由触摸笔来完成,增加了人与手机游戏的互动性和参与性,引人入胜,爱不释手。 -The titles of university life as the background, interspersed with one answer, choice, connections and other intelligence tests, more funny comi
  3. 所属分类:software engineering

    • 发布日期:2017-05-20
    • 文件大小:6112513
    • 提供者:success
  1. Math-Methods

    0下载:
  2. 关于一些有趣的数学知识,可以开发智力,增加数学方面的基础知识,欢迎下载!-On some interesting mathematical knowledge, intelligence can be developed to increase the basic knowledge of mathematics are welcome to download!
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1196653
    • 提供者:涂林林
  1. Programmer-interview-book

    0下载:
  2. 揭开知名IT企业面试、笔试的核心机密,传授程序员岗位求职的关键技巧、传递快乐工作的精神与态度。   该书涉猎各大IT公司历年面试真题(包括笔试题、口试题、电话面试、英语面试,以及逻辑测试和智力测试),通过精确详细的分在,把在应聘程序员(含网络、测试等)过程中所遇见的常见考点为你一一点破。-Well-known IT companies opened the interview, written examination of the core secrets, teach the key sk
  3. 所属分类:File Formats

    • 发布日期:2017-05-21
    • 文件大小:6575562
    • 提供者:缪缪
  1. 2009082229lianbaio

    0下载:
  2. 迷宫游戏猫捉老鼠 C++语言实现 幽源码 可执行 开发智力 适合C初学者-The maze game of cat and mouse C++ language quiet source executable develop intelligence for C beginners
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:269253
    • 提供者:马大
  1. design_0

    0下载:
  2. 智力抢答器的系统设计方案,很好的单元架构,适合初学者拔高练习-Intellectual Responder system design, good unit architecture for beginners overstating the practice
  3. 所属分类:Project Design

    • 发布日期:2017-11-26
    • 文件大小:97979
    • 提供者:张永满
  1. 3_first_event_detector

    0下载:
  2. 智力抢答器,用于在一些抢答的场合,计量抢答。-Intellectual Responder, for some answer in the occasion, metering Responder.
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:523917
    • 提供者:caoshifeng
  1. qiangdaqi

    0下载:
  2. 多路智力竞赛抢答器 实现实时指挥 闪烁 等待 交通指挥-Multiple quiz Responder to achieve real-time command flashes waiting for traffic control
  3. 所属分类:Project Design

    • 发布日期:2017-12-09
    • 文件大小:3669
    • 提供者:哈哈人
« 12 »
搜珍网 www.dssz.com