CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 格雷码

搜索资源列表

  1. erjinzhidaogeleima

    0下载:
  2. < 二进制到格雷码转换》绝对好用的EDA实验程序!已经通过测试
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1024
    • 提供者:潘晓峰
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. vhdlfi

    0下载:
  2. fifo vhdl源码,高可靠性,带有格雷码同步,有需要可依进行参考!-fifo vhdl source, high reliability, with Gray-code synchronization, there is a need-based reference!
  3. 所属分类:Communication

    • 发布日期:2017-04-13
    • 文件大小:3294
    • 提供者:lee
  1. geleiam

    0下载:
  2. 格雷码转换成二进制 格雷码是一种工业使用的码值 其好处在于误码率低 但是它是一种无权码 不能进行运算-Gray code into binary Gray code is a code used by the industries the value of its benefits is that the bit error rate is low but it is a right operation code can not be
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:1039
    • 提供者:何塞
  1. to2to

    0下载:
  2. 二进制格雷码与自然二进制码的互换 它就是介绍怎么把二进制格雷码与自然二进制码的互换。主要是异或操作-itis to for 2to 2
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:116053
    • 提供者:陈枫
  1. C-Program-examples

    0下载:
  2. 河内塔 费式数列 巴斯卡三角形 三色棋 老鼠走迷官(一) 老鼠走迷官(二) 骑士走棋盘 八个皇后 八枚银币 生命游戏 字串核对 双色、三色河内塔 背包问题(Knapsack Problem) 数、运算 蒙地卡罗法求 PI Eratosthenes筛选求质数 超长整数运算(大数运算) 长 PI 最大公因数、最小公倍数、因式分解 完美数 阿姆斯壮数 最大访客数 中序式转
  3. 所属分类:Project Manage

    • 发布日期:2017-03-23
    • 文件大小:64768
    • 提供者:李艳文
  1. -Grey

    0下载:
  2. 格雷编码器的真值和格雷码盘上的数值值的参照.可以让你写伺服系统的控制中作为查表的数据.-The numerical values ​ ​ on the the the Gray encoder true value and the Gray code disk reference. Allows you to write data as a look-up table in the control of the servo system.
  3. 所属分类:File Formats

    • 发布日期:2017-11-26
    • 文件大小:600
    • 提供者:wang weishan
  1. counter

    0下载:
  2. vhdl语言做的4位可逆计数器和格雷码转换器,包括具体代码和仿真结果-vhdl language do four reversible counter and Gray code converter, including a specific code and simulation results
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:115419
    • 提供者:张瑞萌
  1. gerleima

    0下载:
  2. 格雷码转换成二进制的文档,VHDL语言实现的方法-wave produce code
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:97838
    • 提供者:zhang
  1. Desktop

    0下载:
  2. QPSK的解调与调制,还有二进制码转换为格雷码的方法-Demodulation and modulation of QPSK, and the binary code into gray code method
  3. 所属分类:Communication

    • 发布日期:2017-04-24
    • 文件大小:395896
    • 提供者:周林
  1. 124423425

    0下载:
  2. 利用光洋编码器通过格雷码输出,检测角度的程序。程序测试可以用,51单片机最为核心机。- 42/5000 The use of foreign code encoder through the Gray code output, the detection angle of the program. Program testing can be used, 51 single-chip most core machine.
  3. 所属分类:Software Testing

    • 发布日期:2017-05-04
    • 文件大小:86452
    • 提供者:陈慕辉
  1. a1401

    0下载:
  2. 分治法将数字实现格雷码转换,运用分治策略。(The method will achieve the conversion of digital gray code, using divide and conquer strategy.)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-22
    • 文件大小:1133568
    • 提供者:为了
搜珍网 www.dssz.com