CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 波形设计

搜索资源列表

  1. 1024点FFT的VHDL代码

    0下载:
  2. 自己编写的1024点FFT的代码,里面含有说明,程序和仿真波形,课程设计格式,编译通过,程序可以作为参考
  3. 所属分类:文档资料

    • 发布日期:2013-08-07
    • 文件大小:1004251
    • 提供者:quxinnh@126.com
  1. zhiliaoyi

    3下载:
  2. 中频电子治疗仪的波形研究与设计 硕士论文-IF electronic treatment instrument waveforms Research and Design
  3. 所属分类:Project Design

    • 发布日期:2016-03-31
    • 文件大小:1397760
    • 提供者:zhao
  1. USB-data-acquisition-card

    0下载:
  2. 基于USB接口的数据采集卡的设计,使用下位机采集数据通过USB传到上位机软件实时处理和显示波形。提供的资料: 1、优秀、完整毕业论文 2、可运行的上位机软件和上位机源代码 3、下位机硬件设计PCB原理图、制板图、所需的元件(下位机设计很简单, 但很有效) 4、下位机微处理器和数据采集程序 5、答辩PPT 6、中英文文献翻译 提供全部资料,毕业设计无需再做。这是本人大四的毕业设计题目,被评为优秀毕业论文,没有向外发表,并经过四次万方数据库查重,相似度只有3 !本论文绝对惟一、有保障! 附件提供了毕
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:300526
    • 提供者:996hjhj
  1. FPAGSHUZIBOXING

    0下载:
  2. 一篇FPGA数字波形发生器的详细的设计报告,里面 方案比较与论证 单元电路设计 软件设计 系统测试,并附有代码-Detailed design report an FPGA digital waveform generator, which compares with the demonstration program unit circuit design software design system testing, together with the code
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:51156
    • 提供者:尹佳佳
  1. matlab-QPSK

    0下载:
  2. 利用 matlab 编程语言和建模仿真 利用Simulink 实现了 QPSK 系统的仿真设计,通过仿真图形观察了调制解调过程中各环节的波形,并结合 QPSK 调制技术的原理,分析了系统的性能,证明了仿真模型的可行性-Use matlab modeling and simulation using Simulink simulation designed to achieve a QPSK system through simulation graphics observed wavefor
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:1024977
    • 提供者:Lee
  1. 1

    0下载:
  2. 该文档主要讲述参数可调波形发生器的相关设计与实现-The document focuses on adjustable parameters associated waveform generator design and implementation
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:111562
    • 提供者:何枭
  1. spwm

    0下载:
  2. 通过三相系统的设计,和控制设计产生spwm波形,可以观察spwm波形与理论相符合-Through the design of three-phase system, and the control design to produce SPWM wave, SPWM wave can be observed with the theory
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:18073
    • 提供者:mahongli
  1. 信号产生电路

    0下载:
  2. 在各种电子设计制作过程中,需要产生各种波形,如矩形波,正弦波,三角波,单脉冲波等。产生的方法主要利用运算放大器或专用模拟集成电路,配以少量的外接元件可以构成各种类型的信号发生器。信号发生器又可分为正弦波发生器(又称为张弛振荡器)和非正弦波发生器两大类。由模拟集成电路构成的正弦波发生器,其工作频率多是1MHz以下,其电路通常由工作于线性状态的运算放大器和外接移相选频网络构成。选用不同的移相选频网络便构成不同类型的正弦波发生器。非正弦波发生器通常由运放构成的滞回比较器(又称施密特触发器)和有源或无源
  3. 所属分类:文档资料

  1. 信号源类题目分析

    0下载:
  2. 信号源类有实用信号源的设计和制作(第二届,1995年)、波形发生器(第五届,2001年)和电压控制LC振荡器(第六届,2003年)。 实用信号源的设计和制作(第二届,1995年)要求设计制作一个正弦波和脉冲波信号源,频率范围20Hz~20kHz,低频信号源。涉及到的基础知识与制作能力包含:RC振荡器,脉冲振荡器,数字可调电位器,单片机,数字显示与控制等。 波形发生器(第五届,2001年)要求设计制作一个能产生正弦波、方波、三角波和由用户编辑的特定形状波形的波形发生器,频率范围100Hz~20
  3. 所属分类:文档资料

  1. 基于Matlab的电力系统故障分析与仿真

    6下载:
  2. 本文介绍了MATLAB软件在电力系统中的应用,以及利用动态仿真工具Simulink和电力系统工具箱PSD进行仿真的基本方法。在仿真平台上,以单机—无穷大系统为建模对象,通过选择模块,参数设置,以及连线,对电力系统的多种故障进行仿真分析。同时,设计一个GUI图形界面,将仿真波形清晰地显示在界面上以便比较和分析。
  3. 所属分类:软件工程

  1. DSP

    0下载:
  2. MATLAB软件平台下,利用函数wavread对语音信号进行采样,记住采样频率和采样点数; 2)画出语音信号的时域波形,对采样后的语音进行fft变换,得到信号的频谱特性;对语音信号分别加入正弦噪声和白噪声,画出加噪信号的时域波形和频谱图; 3)根据对加噪语音信号谱分析结果,确定滤除噪声滤波器的技术指标,设计合适的数字滤波器,并画出滤波器的频域响应; 4)用所设计的滤波器对加噪的信号进行滤波,在同一个窗口画出滤波前后信号的时域图和频谱图,对滤波前后的信号进行对比,分析信号变化; -
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:1122
    • 提供者:张三
  1. 1

    1下载:
  2. 语音信号的延时和混响 1)利用Windows下的录音机或其他软件,录制一段自己的语音信号,时间控制在1s左右,并对录制的信号进行采样; 2)语音信号的频谱分析,画出采样后语音信号的时域波形和频谱图; 3)将信号加入延时和混响,再分析其频谱,并与原始信号频谱进行比较; 4)设计几种特殊类型的滤波器:单回声滤波器,多重回声滤波器,全通结构的混响器,并画出滤波器的频域响应; 5)用自己设计的滤波器对采集的语音信号进行滤波; 6)分析得到信号的频谱,画出滤波后信号的时域波形和频谱
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:853
    • 提供者:张三
  1. su

    0下载:
  2. 利用双线性变换法设计一个级联型的椭圆IIR滤波器,对一段含噪语音信号进行滤波去噪处理并根据滤波前后的波形和频谱分析滤波性能。本课程设计仿真平台为MATLAB7.0,开发工具是M语言编程。首先在Windows下用录音机工具录制一段语音信号,并人为加入一单频噪声,然后对信号进行频谱分析以确定所加噪声频率,并设计滤波器进行滤波去噪处理,最后比较滤波前后的波形和频谱并进行分析。-Bilinear transformation method is used to design a cascade elli
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:1547
    • 提供者:su
  1. AWGN

    0下载:
  2. 在本次课程设计的过程中,我对于对通信系统仿真的各个环节进行了一定范围的学习和实践,利用SIMULINK工具包分别实现模拟系统的仿真,并且在调整参数后以及输出波形和理论的比较得出以下结论:-In the process of designing this course, I respect all aspects of the communications system simulation for a certain range of study and practice, the use of
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:158422
    • 提供者:卓萍子
  1. juzhen

    0下载:
  2. 提出了2种具有高电压传输比的新型矩阵变换器,根 据逆变侧主电路拓扑结构的不同,将其分别称为Boost 矩阵 变换器(BMC)和Buck-Boost矩阵变换器(BBMC)。介绍了这 2种拓扑结构的基本构成和工作原理,阐述了滑模控制器和 双闭环控制器的设计方法,并对其进行了比较,最后通过仿 真证明了该新型电路结构的可行性和有效性。结果表明:该 电路能实现电压传输比和输出频率的任意调节,且波形失真 度小,从而有效地解决了传统矩阵变换器(CMC)电压传输比 低的固有缺陷,
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:240394
    • 提供者:starcool
  1. The-multi-channel-data-acquisition

    1下载:
  2. 采用NI公司的PCI-6224多功能数据采集(DAQ)板卡并基于图形化的LabVIEW进行编程,设计了多通道数据采集与显示系统。该系统可通过完整的人机交互界面进行试验参数设置和功能选择,并可对数据采集卡上传的数据进行实时显示和处理,并具有数据存储、回放及波形重新显示功能。-This paper employs the NI PCI-6224, a multifunctional data acquisition (DAQ) board , a multi-channel data acquisi
  3. 所属分类:Software Testing

    • 发布日期:2017-05-03
    • 文件大小:605987
    • 提供者:瑞婷
  1. PCMbianmaMatlab

    1下载:
  2. 代码附后 本设计结合 PCM 的抽样、量化、编码原理,利用 MATLAB 软件编程和绘图功能,完 成了对脉冲编码调制(PCM)系统的建模与仿真分析。课题中主要分为三部分对脉冲编码 调制(PCM)系统原理进行建模与仿真分析,分别为采样、量化和编码原理的建模仿真。 同时仿真分析了采样与欠采样的波形、均匀量化与 A 律 13 折线非均匀量化的量化性能及 其差异。通过对脉冲编码调制(PCM)系统原理的仿真分析,设计者对 PCM 原理及性能 有了更深刻的认识,并进一步掌握 MATLAB 软件的使
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1547826
    • 提供者:高山
  1. Design-of-waveform-generator

    0下载:
  2. 本系统介绍USB接口波形发生器的设计。它采用直接数字频率合成(DDFS)技术,实时将USB接收到的数据转换成各种频率的波形输出,所使用的USB传输方式为全速同步传输。-The design of USB interface waveform generator is introduced in this paper. It uses the direct digital frequency synthesis (DDFS) technology, real-time data will be c
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:764600
    • 提供者:黑曼巴
  1. auto_seller_verilog

    0下载:
  2. 自动售货机的verilog实现,文档中有设计自动售货机的要求,下面附有代码的实现以及仿真波形-Vending machine verilog achieve, there is a document designed vending machine requirements, implementation and simulation waveforms with the following code
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1114491
    • 提供者:sjy
  1. 基于忆阻器的四维混沌系统

    0下载:
  2. 忆阻器是一种非线性且是无源的元件,且非常容易发生混沌振荡信号,因此作为混沌系统的非线性项来提高混沌系统的信号随机性和复杂度. 本文基于磁控忆阻器模型提出了一个四维四次的忆阻超混沌系统,首先采用数学模型﹑奇怪吸引子﹑时域波形及功率谱等方法详细分析了系统的动力学行为,然后设计了SPICE电路对系统进行了分析,结论证实了数值仿真和电路结果的一致性. 接着采用线性反馈控制方法,通过忆阻超混沌系统的最大李雅普诺夫指数确定控制参数的取值范围,数值仿真证明,只要选择合适的线性反馈控制增益矢量,就可以很容易的实
  3. 所属分类:其它文档

« 1 2 3 4 5 6»
搜珍网 www.dssz.com