CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 频率计

搜索资源列表

  1. pinlvji

    0下载:
  2. 六位数码管频率计。工作原理: * 1S 钟内对输入脉冲所计数的次数则为频率值。-Six digital frequency meter tube. Working Principle:* 1S minutes by counting the input pulse frequency, compared with the value of the number.
  3. 所属分类:File Formats

    • 发布日期:2017-03-28
    • 文件大小:3956
    • 提供者:米菲
  1. FPGANios_pinlvji

    0下载:
  2. 基于FPGANios_的等精度频率计设计,采用SOPC设计技术和基于Niosii嵌入式软核处理器的系统设计方案,对传统的等精度测量方法进行了改进-FPGANios_ based on accuracy, such as the frequency of the design, use of technology and SOPC design Niosii based on soft-core processor embedded system design, such as the tradi
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:166293
    • 提供者:于银
  1. pinglvji1

    0下载:
  2. 这是07浙江省大学生电子设计的论文 频率计-This is the 07 papers Undergraduate Electronic Design, Zhejiang, frequency counter
  3. 所属分类:File Formats

    • 发布日期:2017-05-09
    • 文件大小:1776807
    • 提供者:yaodx
  1. 6weishu

    0下载:
  2. 六位数显频率计的程序设计-Six-digit frequency counter program design significant
  3. 所属分类:Document

    • 发布日期:2017-04-08
    • 文件大小:41586
    • 提供者:李要乾
  1. dfbfdvbfdbfgbfgb153351bgfb

    0下载:
  2. : 条形码识别,直接运行程序即可; pdf417lib:二维条形码打印(输出为ps格式的文件),在书中第6章二维条形码打印部分有程序使用的说明; 条形码生成器源程序:生成一维条形码,直接运行程序即可; [8位数字频率计.rar] - 数字频率计~ VHDL 实现 可以实现频率的测量和现实的功能 8位 [hot.rar] - 图像分割是数字图像处理中的关键技术之一。图像分割是将图像中有意义的特征-tiaoxingma.rar]- barcode: barcode recognition
  3. 所属分类:Development Research

    • 发布日期:2017-04-10
    • 文件大小:1087555
    • 提供者:ihba
  1. vhdl

    0下载:
  2. 用vhdl实现频率计,提出一种用vhdl实现的等精度测频率系统设计。-Frequency counter using vhdl implementation is presented using vhdl achieve precision measurements such as frequency of system design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:103578
    • 提供者:salanchen
  1. frequencymeter

    0下载:
  2. 数字频率计课程设计 描述计时功能等功能-Digital frequency meter function of curriculum design, timing and other functions described in
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:155340
    • 提供者:黄天
  1. 8051shixianpinliji

    0下载:
  2. 本设计介绍了用8051作为主控制器,用测脉冲个数的方法以及用Proteus软件帮助我们开发实现频率计的方法-The design is introduced by 8051 as the main controller, with the pulse number measuring method, as well as with the Proteus software to help us to develop the method to achieve frequency meter
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:305918
    • 提供者:冰鸽
  1. shumaguan

    0下载:
  2. 单片机C语言程序设计实训之数码管显示的频率计-Digital display of frequency counter
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:29117
    • 提供者:
  1. DDS

    0下载:
  2. 简易的直接式数字频率计(DDS) 32位加法器,32位寄存器,sin_rom表-Simple direct digital frequency meter (DDS) 32-bit adder, 32-bit registers, sin_rom Table
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:1081080
    • 提供者:陈龙
  1. DDS-Function-Generator

    0下载:
  2. 双通道频率计,设计的非常好 从外国电子DIY网上搜罗的-The function generator is a generic measurement equipment to generate test signals. Most function generators can generate a sine, square and/or triangle wave and high end equipments support arbitrary waveforms and have mul
  3. 所属分类:Project Design

    • 发布日期:2017-05-08
    • 文件大小:1593581
    • 提供者:
  1. shuzipinlvji

    0下载:
  2. 【摘要】本设计是基于AT89C2051单片机的软硬件系统设计,硬件电路包括信号预处理电路、波形转换电路、波形整形及分频电路、显示电路,它们在本文中都有详细介绍。在单片机设计中应用单片机的数字运算和控制功能实现了测频量程的自动切换,满足了时间要求和精度要求。 【关键词】频率计;分频;AT89C2051 -【Abstract】This paper presents a design for frequency meter based on AT89C2051 Single-Chip Microc
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:641384
    • 提供者:张谦
  1. GM

    0下载:
  2. 用74系列数字器件设计一个频率计。要求: ① 用4位7段数码管显示待测频率,格式为0000Hz。 ② 测量频率范围:10~9999Hz。 ③ 测量信号类型:正弦波、方波和三角波。 ④ 测量信号幅值:0.5~5V。 ⑤ 设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。 -74 series of digital devices designed to use a frequency counter. Requirements: ① with four 7-seg
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:463647
    • 提供者:曼曼
  1. Frequency-Counter

    0下载:
  2. 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3028
    • 提供者:wulei
  1. 8051_FTEST_K4X4(NO.1)

    0下载:
  2. 8051_FTEST_K4X4 带按键的4x4的 等精度频率计!-8051_FTEST_K4X4 with key 4x4 and other precision frequency counter!
  3. 所属分类:Communication

    • 发布日期:2017-05-19
    • 文件大小:5533553
    • 提供者:77
  1. plj

    0下载:
  2. 这是个频率计的程序资料。用C些的,希望对你有帮助!-This is a frequency counter program information. Those in C, and want to help you!
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:19481
    • 提供者:郑桐
  1. pinlvji

    0下载:
  2. 基于EDA技术简易频率计的设计,完成0~10MHZ频率的测量-Simple frequency meter based on EDA technology is designed to complete the 0 ~ 10MHZ frequency measurement
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:1309
    • 提供者:
  1. frequency-testing

    0下载:
  2. 简易数字频率计设计报告,MSP430实现各不同等级的频率测试,并在液晶屏上显示-Simple digital frequency meter design report, the MSP430 to the frequency of testing of different levels, and displayed on the LCD screen
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:49247
    • 提供者:dc
  1. VHDL

    0下载:
  2. 基于VHDL的数字频率计的详细设计与相关范围-VHDL digital frequency meter
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:242786
    • 提供者:苹果核
  1. FPGA-based-frequency-counter

    0下载:
  2. 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL languag
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:776981
    • 提供者:金刚
« 1 2 34 5 6 »
搜珍网 www.dssz.com