CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - (7

搜索资源列表

  1. 25175 同学录管理系统 v1.51

    0下载:
  2. 这套学籍管理系统,吸取众多软件的优点,适合:小学、初中、高中、中专等学校使用。 软件摒弃了一些不常用的功能,做到了功能强大但不复杂,界面漂亮而不花哨,使用简单化,窗口操作一目了然。 它具备的功能有: 1、适合在网络环境下使用。只需要将工作目录共享,即可实现联网录入,联网管理。 2、支持用户定义和权限定义,可有效防止数据被删除或泄露。 3、采用office xp菜单(全新修改),XP窗体,XP界面更酷; 4、提供强大的编辑功能,可以实现类EXCEL
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:75897
    • 提供者:yuan
  1. ORACLE_train1

    0下载:
  2. ORACLE公司自86年推出版本5开始,系统具有分布数据库处理功能.88年推出版本6,ORACLE RDBMS(V6.0)可带事务处理选项(TPO),提高了事务处理的速度.1992年推出了版本7,在ORACLE RDBMS中可带过程数据库选项(procedural database option)和并行服务器选项(parallel server option),称为ORACLE7数据库管理系统,它释放了开放的关系型系统的真正潜力。ORACLE7的协同开发环境提供了新一代集成的软件生命周期开发环境
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:32682
    • 提供者:kiki
  1. Delphi_kuihuabaodian

    0下载:
  2. Delphi未证实的葵花宝典,共有500多条编程技巧(hubdog整理,版本2.7)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:4924193
    • 提供者:刘名
  1. CMMB-Apendix-2

    0下载:
  2. 软件工程附录: 6、模块开发卷宗(GB8567——88) 7、软件问题报告单SPR 8、软件需求说明书(GB856T——88) 9、数据库设计说明书(GB8567——88) 10、数据要求说明书(GB856T——88)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:36579
    • 提供者:fengzm
  1. Embbeded_tcp_ip_user_guide

    0下载:
  2. 嵌入式TCP/IP协议栈说明书下载-- 技术说明: 1)用户应用协议栈则需要编写以太网的数据报收发驱动,就可以使用协议栈提供的标准SOCKET API,完成服务器和客户端应用程序的开发。 2)协议栈运行于非操作系统的环境下,因此它的运行速度与一般采用多任务操作系统的TCP/IP协议,速度相对说来要快。 3)协议栈完成的功能包括ARP,IP,ICMP(ping),TCP,UDP,暂不支持IGMP,RARP。 4)协议栈采用C代码编写,可方便的移植于各种单片机平台。 5)协
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11406
    • 提供者:6756
  1. 防火墙与入侵检测课程设计

    2下载:
  2. 目录 1 引言... 1 1.1      防火墙的基本工作原理... 1 1.1.1 防火墙技术分类... 1 1.1.2 包过滤防火墙... 1 1.1.3应用网关防火墙... 2 1.1.4 状态检测防火墙... 2 1.2 入侵检测系统原理... 2 1.2.1 按入侵检测的主要技术分类... 3 1.2.2 按入侵检测系统的数据来源分类... 3 2 本系统的网络拓扑图及IP地址规划... 4 2.1 网络拓扑图.
  3. 所属分类:其它文档

    • 发布日期:2009-01-16
    • 文件大小:653824
    • 提供者:aideyongheng
  1. MFC程序员的WTL指南

    1下载:
  2. 序言 3 第一章ATL 界面类 4 1.1、对本书的总体介绍 4 1.2、对这一章的简单介绍 5 1.2.1、ATL 背景知识 ATL 和 WTL 的发展历史 5 1.2.2、ATL风格模板 6 1.3、ATL 窗口类 8 1.3.1、定义一个窗口的实现 8 1.3.2、填写消息映射链 9 1.3.3、高级消息映射链和嵌入类 11 1.3.4、ATL程序的结构 13 1.3.5、ATL中的对话框 15 第二章WTL 界面基类 18 2.1、WTL 总体印象 18 2.
  3. 所属分类:文档资料

  1. VC编程经验总结

    0下载:
  2. 此 CHM 文档的内容均为 CSDN 众网友共同收集而来,由网友们于 2004 年 3 月 7 日在 www.csdn.net 网站 VC/MFC 版的非技术类论坛上正式提出整理工作的倡议,经王国凡同志(sgnaw 李逍遥)整理而成,以供大家工作学习参考之用。 我自认为是一个比较热心的人,经常帮助同学同事们解决 IT 方面的问题,有时也逛逛 CSDN。我在武汉出身,也在武汉工作三年了,希望将来能为 IT 业的发展作出贡献。整理工作虽然辛苦,但也快乐,错漏之处再所难免,如有建议或意见请联系
  3. 所属分类:编程文档

  1. C8051F中文资料

    0下载:
  2. C8051F340/1/2/3/4/5/6/7 系列器件使用Silicon Labs的专利CIP-51微控制器内核。CIP-51与MCS-51TM指令集完全兼容,可以使用标准 803x/805x的汇编器和编译器进行软件开发。CIP-51内核具有标准 8052 的所有外设部件,包括 4 个 16 位计数器/定时器、两个具有扩展波特率配置的全双工UART、一个增强型SPI端口、多达 4352 字节的内部RAM、128字节特殊功能寄存器(SFR)地址空间及多达 40 个I/O引脚。
  3. 所属分类:文档资料

    • 发布日期:2010-04-28
    • 文件大小:2585088
    • 提供者:ysl868@126.com
  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. hanmingma

    0下载:
  2. 实现汉明码的编译,在vhdl环境下实现汉明(7 4)码的编码工作-Hamming code compile vhdl environment Hamming code (74) coding work
  3. 所属分类:software engineering

    • 发布日期:2017-11-12
    • 文件大小:562
    • 提供者:崔中秋
  1. C语言练习题(1-7)

    0下载:
  2. C练习(1-7).doc
  3. 所属分类:文档资料

    • 发布日期:2013-03-19
    • 文件大小:69632
    • 提供者:coolhandy
  1. kqcx_v6.10

    0下载:
  2. 让员工可以在线查询自己的出勤记录及考勤统计数据,可以有效地提升单位的管理效率。使用雷速考勤查询系统,员工只要在任何一台可以上网的电脑上,输入自己的用户名和密码就可以查询自己的出勤记录和考勤统计数据;单位考勤管理人员每月只要将员工出勤记录及考勤统计的EXCEL文件导入到系统中,并附上说明即可,准确方便,省时省力。 本系统适用对象:需要为员工提供考勤在线查询的大中型企事业单位、政府机关。软件具有以下特点: (1)员工只能查询自己的出勤记录及考勤统计数据。 (2)单位管理人员可以查询所设定
  3. 所属分类:Software Testing

    • 发布日期:2017-11-15
    • 文件大小:3229925
    • 提供者:朱信帅
  1. AndroidAPI

    0下载:
  2. Android中文翻译组——Android中文API合集(7)-Android Chinese Translation Group- Android Chinese API Collection (7)
  3. 所属分类:Communication

    • 发布日期:2017-12-05
    • 文件大小:2318945
    • 提供者:
  1. wordpressmb_zdm_v1.7-(1)

    0下载:
  2. 1、支持三种商品布局模式: 图文介绍模式 图片+标题模式 大图片+标题模式 2、支持瀑布流功能,后台可以设置哪些分类采用瀑布流模式 3、支持会员功能,会员登录、注册、修改资料、发布文章、评论等 4、支持网友爆料功能,后台可以设置是否要登录才能爆料、爆料是否直接发布、前后爆料间隔时间 5、支持以站内链接的方式跳转到淘宝客链接(注:无需插件) 6、支持自定义侧边栏内容-1, support the three commodities layout mode: Gr
  3. 所属分类:software engineering

    • 发布日期:2017-05-27
    • 文件大小:10154488
    • 提供者:吴翔
  1. 3-7

    0下载:
  2. 通过两个list(一个标明所有课程一个为空白等待选课)实现课程选择。- course via two lists
  3. 所属分类:software engineering

    • 发布日期:2017-04-12
    • 文件大小:1498
    • 提供者:刘玲
  1. 1.e

    0下载:
  2. 迅雷VIP获取器(7月版) 迅雷VIP获取器(7月版)-VIP downloadVIP downloadVIP downloadVIP downloadVIP download
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:327030
    • 提供者:szkk
  1. BCFZ

    0下载:
  2. (7,4)循环编码的仿真和未经过非循环编码的性能比较。-(7, 4) simulation of the cycle of encoding and encoding s performance comparison of non circular.
  3. 所属分类:software engineering

    • 发布日期:2017-04-12
    • 文件大小:727
    • 提供者:当即决断
  1. 概要设计说明书(GB8567——88)

    0下载:
  2. 概要设计说明书 1引言 2 1.1编写目的 2 1.2背景 2 1.3定义 2 1.4参考资料 2 2总体设计 2 2.1需求规定 2 2.2运行环境 2 2.3基本设计概念和处理流程 3 2.4结构 3 2.5功能器求与程序的关系 3 2.6人工处理过程 3 2.7尚未问决的问题 3 3接口设计 3 3.1用户接口 3 3.2外部接口 3 3.3内部接口 4 4运行设计 4 4.1运行模块组合 4 4.2运行控制 4 4.3运行时间 4 5系统数据结
  3. 所属分类:软件设计/软件工程

    • 发布日期:2017-12-10
    • 文件大小:8226
    • 提供者:WH137
  1. IEC61850-7

    0下载:
  2. GB61850-7-1_通讯结构(原理模型) GB61850-7-2_抽象通讯服务接口 GB61850-7-3_公共数据类 GB61850-7-4_FDIS_R300 GB61850-7-4_FDIS_R300_改_ GB61850-7-4_兼容逻辑节点和数据类(Part 7-1 Basic communication structure for substations and Feeder equipment-Priciples and model Part 7-2 Basic
  3. 所属分类:通讯编程文档

    • 发布日期:2018-01-03
    • 文件大小:3849216
    • 提供者:sfggh
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com