CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - DAC verilog

搜索资源列表

  1. DAC

    0下载:
  2. 主要实现对DA转换器的控制、调试程序,使用Verilog语言实现其功能-Main achieved control of the DA converter, debugger, use the Verilog language function
  3. 所属分类:Software Testing

    • 发布日期:2017-04-01
    • 文件大小:134806
    • 提供者:王冠华
  1. DAC-TLC5620_

    0下载:
  2. 基于verilog的硬件设计,DAC芯片TLC5620_verilog代码-The DAC chip TLC5620_verilog code verilog-based hardware design
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:4354
    • 提供者:NAME
  1. ADC_16bit.v

    0下载:
  2. 一个verilog编写的16位ADC程序。该程序方便了DAC的设计人员对DAC提供输入信号,以此可以获得理想的DAC所需信号-Verilog to write a 16-bit ADC program. The program facilitates the DAC' s designers to provide input signals to the DAC, in order to be able to get a good DAC desired signal
  3. 所属分类:Software Testing

    • 发布日期:2017-04-10
    • 文件大小:1500
    • 提供者:徐振涛
搜珍网 www.dssz.com