CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - DDS波形

搜索资源列表

  1. ddsbyvhdl

    0下载:
  2. 摘要:介绍了基于可编程逻辑器件CPLD和直接数字频率合成技术(DDS)的三相多波形函数发生器的基本原理,并在此基础上给出了基于CPLD的各模块设计方法及其VHDL源程序-Abstract : Based on the CPLD and direct digital frequency synthesis (DDS) of a three-phase multi-function waveform Generator to the basic principles and on this basi
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:47722
    • 提供者:陈鑫
  1. max538

    0下载:
  2. dds正弦波发生器,可产生各种波形,正弦波,三角波
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:189842
    • 提供者:jack
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. DDS

    0下载:
  2. VHDL实现谐波检测信号发生的DDS. 同时发出正弦波,三角波,正弦波2倍频后的方波。波形频率相位可调。-VHDL implementation of harmonic detection signal of the DDS. Also issued a sine wave, triangle wave, sine wave, after square-wave frequency 2. Phase adjustable frequency waveform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17133
    • 提供者:徐博
  1. dds

    0下载:
  2. 基于FPGA的双路可移相任意波形发生器 Altera中国大学生电子设计文章竞赛获奖作品刊登-FPGA-based dual phase shifter can be arbitrary waveform generator Altera China Undergraduate Electronic Design Contest winning entries published articles
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1696262
    • 提供者:姜兆刚
  1. cwdds

    0下载:
  2. dds实现波形的生成,采用vhdl语言编程实现-dds achieve waveform generation, the use of VHDL programming language implementation
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:274890
    • 提供者:李江
  1. SimplestatisticsshowthattheexchangeofMillivoltmete

    0下载:
  2. 本系统分电压测量和信号产生输出两大部分,电压测量部分以模拟电路为主,配合放大模块、A/D转化模块、显示模块;通过凌阳单片机进行数据处理,在误差允许范围内显示测量电压值。信号产生以直接数字式频率合成器(Direct Digital Frequency Synthesis,简称DDS或DDFS)为核心,经过AT89S52对DDS芯片内部进行控制,使之输出标准正弦波形,利用编程实现频率预置、步进,达到电压输出频率的可调节步进。通过调试与测量完成了题目的基本部分和全部发挥部分的要求并有自己的创新-Thi
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:589950
    • 提供者:杨隆
  1. 75448152Project1-DDS

    0下载:
  2. 利用DDS芯片实现正弦波输出 使用synplify pro建立工程,加入这些文件 编译后生成.vqm的文件 用quartusII打开.vqm文件,编译通过 加入.vwf波形仿真文件,进行波形仿真 最后分配引脚,下载即可 - realization of sin wave in FPGA
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:8615
    • 提供者:echo
  1. AD9850_51_Source1

    0下载:
  2. 本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制-In this paper, the use of DDS as a signal of the whole core of the device fu
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:447191
    • 提供者:wangqiang
  1. AD9910

    0下载:
  2. AD9910说明书。AD9910是一款内置14 bit DAC的直接数字频率合成器(DDS),支持高达1 GSPS的采样速率。AD9910采用高级DDS专利技术,在不牺牲性能的前提下可极大降低功耗。DDS/DAC组合构成数字可编程的高频模拟输出频率合成器,能够在高达400 MHz的频率下生成频率捷变正弦波形。-AD9910 manual. AD9910 is a built-in 14 bit DAC for direct digital frequency synthesizer (DDS),
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:697761
    • 提供者:simon
  1. DDS(11.29)

    0下载:
  2. 主要是利用dds原理产生三种波形,频率可调,采用模块化设计-The main principle is to use dds produce three kinds of waveforms, frequency adjustable, modular design
  3. 所属分类:software engineering

    • 发布日期:2017-05-15
    • 文件大小:3789884
    • 提供者:luo
  1. dds

    0下载:
  2. dds波形发生器,产生正弦波方波三角波等不同种类的各种波形-boxingfashengqi
  3. 所属分类:Document

    • 发布日期:2017-04-10
    • 文件大小:1298445
    • 提供者:gump
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. xhfsqyanjiu

    0下载:
  2. 基于直接数字频率合成(DDS) 原理,利用AT89C52 单片机作为控制器件,采用AD9850 型DDS 器件设计一个信号发生器。给出了信号发生器的硬件设计和软件设计参数,该系统可输出正弦 波、方波,且频带较宽、频率稳定度高,波形良好。该信号发生器具有更强的市场竞争力,在跳频技术、 无线电通信技术方面具有比较广阔的发展前景。-Based on Direct Digital Synthesis (DDS) theory, using AT89C52 microcontroller as
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:959388
    • 提供者:姚木
  1. dds

    0下载:
  2. 课程设计报告 转发自刘青林 波形发生器设计-Curriculum design
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:182511
    • 提供者:杨立秋
  1. FANGZHENDAIMA-

    0下载:
  2. 是用matlabK联合FPGA一起实现波形仿真,不同于大家常见的别的方法。是平行于SILK技术的一种技术-DDS MATLAB 仿真
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:5924
    • 提供者:王龙雨
  1. DDS.ZIP

    0下载:
  2. 基于FPGA的DDS信号发生器设计,能显示至少三种波形,方波,三角波,正弦波-FPGA-based DDS signal generator design, capable of displaying at least three waveforms, square wave, triangle wave, sine wave
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:1068544
    • 提供者:杨开意
  1. dds

    0下载:
  2. DDS信号发生器 原理图 可产生任意波形 1~10khz-The schematic diagram of the DDS signal generator can generate arbitrary waveforms 1 ~ 10khz
  3. 所属分类:software engineering

    • 发布日期:2017-12-09
    • 文件大小:307306
    • 提供者:黄亚辉
  1. DDS--AD9851

    0下载:
  2. msp430控制ad9851产生任意波形-msp430 ad9851
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:26260
    • 提供者:zm
  1. DDS

    0下载:
  2. 基于FPGA的任意波形信号发生器,可实现频率、幅度、相位的调节,输出方波、正弦波、锯齿波-Arbitrary waveform generator based on FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-12-12
    • 文件大小:11318258
    • 提供者:王勇
« 12 »
搜珍网 www.dssz.com