CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - EDA

搜索资源列表

  1. synplicity8.0

    0下载:
  2. Electronic design automation (EDA) company providing logic synthesis and analysis tools for FPGA and ASIC designers.-Electronic design automation (EDA) compa ny providing logic synthesis and analysis tool 's for FPGA and ASIC designers.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:253263
    • 提供者:陈亨利
  1. EDA_1012

    0下载:
  2. EDA_1012,eda课件
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:324684
    • 提供者:liu
  1. SOC_EDA

    1下载:
  2. 利用EDA工具和硬件描述语言(HDL),根据产品的特定要求设计性能价格比高的片上系统,是目前国际上广泛使用的方法。与传统的设计方法不同,在设计开始阶段并不一定需要具体的单片微控制器(MCU)和开发系统(仿真器)以及带有外围电路的线路板来进行调试,所需要的只是由集成电路制造厂家提供的用HDL描述的MCU核和各种外围器件的HDL模块。设计人员在EDA工具提供的虚拟环境下,不但可以编写和调试汇编程序,也可以用HDL设计、仿真和调试具有自己特色的快速算法电路和接口,并通过综合和布线工具自动转换为电路结构
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:18911
    • 提供者:lilin
  1. shuzixitongbaogao

    0下载:
  2. 数字系统课程设计包括EDA实验板组装调试及红外遥控系统设计制作两个部分,各部分要求如下: 红外遥控系统由发射编码和接收解码两个部分组成,本课程设计要求制作发射编码电路板(遥控器)以及编写程序在EDA实验板上实现接收解码,具体说明如下: -Digital System Design courses including EDA experimental plate assembly and testing infrared remote control system design of tw
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:370360
    • 提供者: 梁良
  1. Cadence_HandBOOK

    0下载:
  2. 清华大学Cadence的教程。作为流行的EDA工具之一,Cadence一直以来都受到了广大EDA工程师的青睐。-Qinghua University Cadence curricula. As popular EDA tools, Cadence has been by the broad EDA engineers of all ages.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1520772
    • 提供者:liliang
  1. EDAshejideledhanzigundongxinashi

    0下载:
  2. 用EDA设计8*8LED点阵汉字滚动显示器!-8 * 8LED lattice rolling display Chinese characters!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:304200
    • 提供者:sfdfsdf
  1. eeDDS

    0下载:
  2. EDA实验本科论文,对学习电子工程专业的学生有很大帮助,是关于直接数字频率合成器的-EDA experimental undergraduate thesis on the study of electronic engineering students to be of great help. of direct digital frequency synthesis of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:604548
    • 提供者:王琨
  1. IC_design_industry[1]

    0下载:
  2. 随着集成电路产业的超快速发展,IC设计业已成为主流趋势,每日更新EDA设计及IC设计的新闻趋势和新产品动态,提供IC设计技术文库和应用实例.介绍EDA工具的使用,帮助工程师们更深入理解EDA设计及IC设计技术,并实践到工作中。 -With the integrated circuit industry ultra-rapid development of IC design has become a mainstream trend. EDA updated daily IC d
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:820980
    • 提供者:叶艳
  1. erjinzhidaogeleima

    0下载:
  2. < 二进制到格雷码转换》绝对好用的EDA实验程序!已经通过测试
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1024
    • 提供者:潘晓峰
  1. sirenqiangdaqi

    0下载:
  2. < 四人抢答器>>绝对好用的EDA程序!已经通过测试
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:905
    • 提供者:潘晓峰
  1. duogongnengzhong

    0下载:
  2. 《多功能数字钟》,绝对好用的EDA程序,已经通过测试-"multifunctional digital clock," absolutely good for EDA procedures, has passed the test
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3051
    • 提供者:潘晓峰
  1. jiaotongdengkongzhi

    0下载:
  2. 《交通控制灯》,绝对好用的EDA程序,已经通过测试-"traffic control lights," absolute handy EDA procedures, has passed the test
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1471
    • 提供者:潘晓峰
  1. EDA-fundamental-knowledge

    0下载:
  2. 关于eda的基本入门知识,也是期末考试的重点知识-Knowledge about the basic entry eda is also the focus of the final exam knowledge
  3. 所属分类:Communication

    • 发布日期:2017-11-25
    • 文件大小:17415
    • 提供者:谭各津
  1. EDA-technology-and-VHDL-design

    0下载:
  2. 基于飞思卡尔智能车16位单片机的EDA技术和VHDL设计 编程方法和代码 -Based on Freescale 16-bit microcontroller design EDA technology and VHDL programming methods and code
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:5921685
    • 提供者:覃军侨
  1. EDA 四路模拟信号循环采集

    0下载:
  2. 对思路模拟信号进行循环采集并在Signal Tap 上显示出来。利用QuartusII软件编程,分为几个模块,都有详细的代码和仿真图。已经试验验证正确。
  3. 所属分类:软件工程

    • 发布日期:2013-08-02
    • 文件大小:208896
    • 提供者:136483zhuxiaoyi
  1. eda

    0下载:
  2. 简单基本的微序列控制器eda实现,适合新手学习。仅适合参考-The basic sequence of simple micro-controller eda achieved for beginners to learn. Only suitable reference
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:153559
    • 提供者:企鹅
  1. EDA

    0下载:
  2. 是学习eda技术的课件,初级水平,中文版本,教学时使用,也可自学-Learning courseware, the primary level, the Chinese version eda technology, teaching time, can also be self-
  3. 所属分类:Project Manage

    • 发布日期:2017-06-17
    • 文件大小:26273792
    • 提供者:zhouxiaotong
  1. EDA-experise-learning

    0下载:
  2. 用于EDA学习,学习数字逻辑电路设计,基于可编程逻辑阵列的学习。-EDA for learning, learning of digital logic circuit design.
  3. 所属分类:software engineering

    • 发布日期:2017-12-14
    • 文件大小:14382014
    • 提供者:muzijia
  1. EPM240原理图

    0下载:
  2. EPM240原理图 EASYCPLD,LYC-EDA(EPM240 hardware sch,EASYCPLD,LYC-EDA)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-26
    • 文件大小:338944
    • 提供者:可爱狐狸
  1. eda 常用电路设计程序

    0下载:
  2. EDA(电子设计自动化)可以进行电子电路的仿真设计,本文档包涵多个常用的电路的EDA设计程序
  3. 所属分类:编程文档

« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com