CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - Phase Detector Verilog

搜索资源列表

  1. phase_test

    0下载:
  2. 基于verilog的鉴相器设计,鉴相器是锁相环的一部分,功能是检测两个时钟是否同步-The phase detector based on verilog design, PLL phase detector is part of function is to test whether the two clock synchronization
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:640
    • 提供者:林锋
搜珍网 www.dssz.com