CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - SO

搜索资源列表

  1. DigitalElectronicTechnology.ra

    2下载:
  2. 设计制作一个路灯自动照明的控制电路,当日照光亮到一定程度时使路灯自动熄灭,而日照光暗到一定程度时又能自动点亮,开启和关断的日照光亮度根据用户的要求进行调节。设计计时电路,显示路灯当前一次的连续开启时间,设计计数显示电路,统计路灯的开启次数。,Automatic design of a street lamp lighting control circuit, when the bright sunshine to a certain extent so that street lights au
  3. 所属分类:软件工程

    • 发布日期:2017-05-17
    • 文件大小:11093
    • 提供者:siren
  1. pagination.rar

    0下载:
  2. 本文档介绍了当前几大主流数据库实现数据查询分页的方法和实例,包括Oracle、DB2、Sybase、SQL Server、MySQL、PostgreSQL等。,This document describes several of the current mainstream database query data paging methods and examples, including Oracle, DB2, Sybase, SQL Server, MySQL, PostgreSQL an
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:9391
    • 提供者:kangmoren
  1. polyphase_fir.rar

    1下载:
  2. 多相滤波器组信道化接收机理论简介. 所谓数字滤波器组是指具有一个共同输入,若干个输出 .... 应用matlab 滤波器. 设计函数得到一阶数为96 阶的低通滤波器,Polyphase filter bank theory channelized receiver profile. The so-called digital filter means with a common input, a number of applications .... matlab filter output.
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:186810
    • 提供者:霜儿
  1. 3Dfacemodel.rar

    0下载:
  2. 真实人脸建模方面的一篇很不错的论文哦,对做照片人脸建模方面的人来说很有用,The true face of a very good modeling paper Oh, right so photos face modeling is useful for people
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:310732
    • 提供者:陈国安
  1. HVCA0.8

    3下载:
  2. 万能GBA转换器,能把GB SFC FC SG等等的游戏专程GBA格式-GBA universal converter, can GB SFC FC SG GBA format and so the game made a special trip
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:341600
    • 提供者:嘻嘻123
  1. NM-Extra-HW-and-Exams

    0下载:
  2. stereo method stem will automatically delete the directory of debug and release, so please do not put files on these two directory.
  3. 所属分类:Software Testing

    • 发布日期:2017-05-22
    • 文件大小:6773509
    • 提供者:nidhi
  1. wannengshuru

    4下载:
  2. 智能仪表万能信号输入级电路设计,现在的工业显示控制仪表都具有万能输入,何为万能输入?万能输入可以输入20多种传感器信号,如 ­ 线性信号4~20ma,0~20ma,0~10ma,0~5v,1~5v,0~10v, ­ 热电偶,T,R,J,B,S,K,E, ­ 热电阻,PT100,CU50,PT1000等。 ­ -Intelligent Instrument universal signal input level circuit design, indus
  3. 所属分类:Project Design

    • 发布日期:2016-02-18
    • 文件大小:102400
    • 提供者:别问
  1. ggfont

    0下载:
  2. 创意广告字体设计软件,包含各种各样的字体,如车,工具,脸谱,花,动物,建筑物,-Contains a variety of fonts, such as cars, tools, masks, flowers, animals, buildings, and so on and so on. . .
  3. 所属分类:Document

    • 发布日期:2017-05-22
    • 文件大小:6723389
    • 提供者:chence
  1. HippoScript

    0下载:
  2. Hipposcr ipt是一个运行于.net环境的脚本引擎类库 Hipposcr ipt受到mozilla的rhino javascr ipt脚本引擎启发。 Hipposcr ipt使用codedom,因此你可以把Hipposcr ipt当作一个灵活的.net编译工具,也可以把Hipposcr ipt当成一个表达式计算器。 Hipposcr ipt适用范围:一切需要灵活脚本的.net程序,比如报表的计算表达式、工作流里做条件分析-Hipposcr ipt is a run on.
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:238676
    • 提供者:chenjackr
  1. Visual-Electronic-Power-System_VEPS

    0下载:
  2. 基于MAPGIS 7.3开发的数字电力管理系统 系统。包括设计说明、功能说明、安装包等。可以作为地理信息方向的系统开发参考。-MAPGIS 7.3 based digital power management system development system. Including design specifications, functional specification, installation package and so on. As the direction of geogr
  3. 所属分类:Project Design

    • 发布日期:2017-06-21
    • 文件大小:35701409
    • 提供者:shirley
  1. APK

    0下载:
  2. APK文件为压缩包,解压缩后会有XML,DEX等文件,也是Android开发的源文件,但XML和DEX文件都是经过编译的文件,网上也下载到相关的反编译工具,但不能解决批量反编译的问题,所以本程序就此诞生,运行本程序,可以搜索此-APK file is compressed, decompressed will be XML, DEX and other documents, but also developed Android source file, but XML files are com
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2586393
    • 提供者:roy.mac
  1. CentOS-5.5-

    0下载:
  2. centos5.5安装方法,非常详细,冲分区安装等等每步都有相应截图,希望有帮助-centos5.5 installation method, very detailed, red partitions installed so each step has a corresponding screenshot, hope to help
  3. 所属分类:software engineering

    • 发布日期:2017-05-10
    • 文件大小:2290719
    • 提供者:houeryun
  1. Programming-Pearls

    0下载:
  2. 编程珠玑是一本讲解编程设计方面的经典书籍,它从计算机的基础,原理等慢慢深入让你对编程有深入的把握,此书包含中英文2个版本,可以对照看.-Programming Pearls is a program designed to explain aspects of the classic book, it' s from a computer-based, principles, etc. go slowly, so you have a better grasp of programming
  3. 所属分类:software engineering

    • 发布日期:2017-05-31
    • 文件大小:13785661
    • 提供者:wulinxin
  1. DLA

    0下载:
  2. 基于matlab的DLA分形模拟,包含DLA程序,分形的意义-Based on matlab platform of the DLA fractal simulation,Procedures include the DLA,The significance of Fractal and so on.
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:224939
    • 提供者:spring
  1. RS-MATLAB-code

    1下载:
  2. Reed-So lomon (RS)码是目前最有效、应用最广的 差错控制编码之一,是一类具有很强纠错能力的多进制BCH 码, 它既可以纠正突发错误, 也 可以纠正随机错误。RS 码主要应用于实时性较高的移动通信系统、深空通信、数字卫星电 视、磁记录系统等方面。本文用matlab详细仿真实现RS编译码-Reed-So lomon (RS) code is the most effective, the most widely used one of error-controlling
  3. 所属分类:Project Design

    • 发布日期:2016-11-21
    • 文件大小:179200
    • 提供者:孟君
  1. comportdebug.rar

    0下载:
  2. 串口调试工具的2.2版本,做串口通信的最佳选择,Debugging tools for serial version 2.2, so the best choice for serial communication
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:116701
    • 提供者:fandayu
  1. 并行通信和串行通信介绍

    0下载:
  2. 并行通信和串行通信是CPU与外部设备之间进行信息交换的基本方法。采用并行通信时,构成一个字符或数据的各位同时传送,每一位都占用一条通信线,另外还需要联络以保证和外围设备协调地工作,它具有较高的传输速度。但由于在长线上驱动和接收信号较困难,驱动和接收电路较复杂,因此并行通信的传输距离受到限制,这种通信方式多用于计算机内部,或者作为计算机与近距离外围设备传输信息用。,Parallel and serial communication is communication between the CPU
  3. 所属分类:行业发展研究

    • 发布日期:2017-03-22
    • 文件大小:11478
    • 提供者:jiangyan
  1. Quartus-II-250-8.0M

    0下载:
  2. Quartus II官方教程 一共250页,中文教程 ,放在这里让大家方便,这个教程还真的不错的。-Quartus II tutorial a total of 250 official Chinese tutorial on here so that we facilitate, this tutorial really good.
  3. 所属分类:software engineering

    • 发布日期:2017-05-16
    • 文件大小:4136802
    • 提供者:李易
  1. C

    0下载:
  2. 所谓排序是指把一组杂乱无章的数按 照大小顺序排列。包括整数、实数、字符及字符串排序。C语言编程中排序的方法 很多,?这里归纳较常用的几种排序方法。它们同样适合于其他高级语言。 -Refers to the so-called sort of a chaotic number according to the size of order. Including integer, real, character and string sorting. Sort of C language
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:18372
    • 提供者:zhaoliqiang
  1. TMS320F281x_DSP

    0下载:
  2. 从官网上下载的TI CCSBIOS开发资料,优点在于是中文版本,容易阅读-Downloaded from the official website of TI CCSBIOS development of information advantages in the Chinese version so easy to read
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:260882
    • 提供者:tom
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com