CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - array

搜索资源列表

  1. sanxiang

    0下载:
  2. 通过时三相三线有功电能表计算原理和接线方式的分析, 详细计算了接线回路不同故阵时求取真实电贡的补算系数, 并阐述了电童的补算方法。-The passage of three-phase three-wire active energy meter calculation principle and the connection mode of analysis, detailed calculations of the wiring circuit different when it is to
  3. 所属分类:Document

    • 发布日期:2017-03-26
    • 文件大小:152103
    • 提供者:李飞
  1. 3-java

    0下载:
  2. 宠物商店, 1. 所有宠物都具有:名字,颜色和年龄 三个属性。宠物建议命名成Pet 2. 宠物商店中可以增加宠物、根据名字、颜色、年龄查询宠物。宠物商店建议命名成PetStore 3. 考虑实现方便,目前只有两种宠物,Cat 和 Dog ,后续会继续增加宠物类别,但是Cat和Dog具体有多少只,需要用户决定数量; 4. 宠物商店中对宠物的存放结构必须使用数组(具体数组多大,也就是多少只宠物,由用户决定数量,同第三条一个意思); 5. 测试类(PetStoreDemo),要求能
  3. 所属分类:software engineering

    • 发布日期:2017-11-09
    • 文件大小:2444
    • 提供者:冯小小
  1. Bayer

    0下载:
  2. 基于Bayer彩色滤波阵列插值算法的研究,原本为收费文档。-Based on Bayer color filter array interpolation algorithm, the original documentation for the charges.
  3. 所属分类:software engineering

    • 发布日期:2017-06-16
    • 文件大小:24612586
    • 提供者:哈馊豆
  1. PSIMMPPT

    0下载:
  2. 基于PSIM的光伏矩阵MPPT通用模型的设计与应用-PSIM-based MPPT for Photovoltaic Array Design and Application of General Model
  3. 所属分类:Document

    • 发布日期:2017-04-01
    • 文件大小:258623
    • 提供者:No Name
  1. SIL3132

    0下载:
  2. 【产品名称】:PCI-E转(E)SATA阵列卡驱动程序 【芯 片】:Silicon Image SIL3132 【产品型号】:PCI-Express转()ESATA II阵列卡(2口) -【Product Name】: PCI-E transfer (E) SATA array card driver [Chip]: Silicon Image SIL3132 【Product Model】: PCI-Express transfer () ESATA II array ca
  3. 所属分类:software engineering

    • 发布日期:2017-11-15
    • 文件大小:52000768
    • 提供者:春天
  1. How-many-antennas-do-we-need

    0下载:
  2. 3D MIMO下的性能优化需要天线数讨论,给出各种天线阵的性能比较-3D MIMO performance optimization requires the number of antennas discussion, give the performance of various antenna array
  3. 所属分类:Development Research

    • 发布日期:2017-03-25
    • 文件大小:321717
    • 提供者:蓝波
  1. Test

    0下载:
  2. 创建两个数组将它们升序排列,再将它们合并为一个数组也是升序排列-Create two arrays are in ascending order, then merge them into an array is sorted in ascending order
  3. 所属分类:File Formats

    • 发布日期:2017-11-19
    • 文件大小:617
    • 提供者:郑泽
  1. suffixarray

    0下载:
  2. acm竞赛代码资料 构造后缀数据程序及其几个应用-acm contest code suffix data process data structure and its several applications
  3. 所属分类:Document

    • 发布日期:2017-04-01
    • 文件大小:2354
    • 提供者:liulanzheng
  1. RAID

    0下载:
  2. 对磁盘高速存取(提速): RAID将普通硬盘组成一个磁盘阵列,在主机写入数据,RAID控制器把主机要写入的数据分解为多个数据块,然后并行写入磁盘阵列;主机读取数据时,RAID控制器并行读取分散在磁盘阵列中各个硬盘上的数据,把它们重新组合后提供给主机。由于采用并行读写操作,从而提高了存储系统的存取系统的存取速度-High-speed disk access (speed): RAID will be common to form a hard drive disk array, the host
  3. 所属分类:Project Manage

    • 发布日期:2017-03-26
    • 文件大小:258038
    • 提供者:eess
  1. zuichang1

    0下载:
  2. 计算一个数组中最长子序列,功能其实很简单。-An array of computing the longest sequence, function is actually very simple.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:1171
    • 提供者:LHY
  1. DEL_ARR

    0下载:
  2. 给定一组数据,按照从小到大的顺序排好,建立一个类,删除数组中相同的元素-Given a set of data, in accordance with the order from small to large ranked, and the establishment of a category, delete the array in the same element
  3. 所属分类:Development Research

    • 发布日期:2017-04-02
    • 文件大小:8043
    • 提供者:黄海燕
  1. Microphone

    1下载:
  2. 提出一种小尺度麦克风阵列语音增强系统和方法。本系统包括信号采集和信号处理的DSP实现。-A small-scale microphone array speech enhancement systems and methods. The system includes the signal acquisition and signal processing of the DSP to achieve.
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:424706
    • 提供者:叶紫0906
  1. DSPCCD

    0下载:
  2. DSP的线阵CCD数据采集系统设计,尤其是ccd方面。-DSP-linear array CCD data acquisition system design, especially in regard ccd.
  3. 所属分类:Project Design

    • 发布日期:2017-03-25
    • 文件大小:134209
    • 提供者:爱因斯芝
  1. 1

    0下载:
  2. 编写一个程序,要求用户输入一年12个月中,每月的降雨总量, //* 并采用一个float数组存储.程序显示:一年内的总降雨量,平均 //* 每月的降雨量,降雨量最大的月份和最小的月份. //* 输入有效性检验:若用户输入的降雨量为负数,那么就不接受该数.-The preparation of a program that requires a user to enter a year 12 months, the monthly rainfall total,//*
  3. 所属分类:Document

    • 发布日期:2017-04-12
    • 文件大小:1009
    • 提供者:yann
  1. 5

    0下载:
  2. 编写一个程序求一组整数的中值.如果这组数的个数为奇数,那么 //* 中值就是排序后的中间那个数 如果这组数的个数为偶数,那么中 //* 值就是平排序后的中间两个数的平均值(也是这组数的平均值). //* 编写一个函数接受如下两个参数: //* (1)整形数组 //* (2)代表该数组元素个数的一个整数 //* 该函数应当返回数组的中值.-To prepare a program for a group of integer valu
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:976
    • 提供者:yann
  1. crc

    0下载:
  2. This GUI is an updated and enhanced version of the “StructBrowser” utility submitted to MatLab Central in May 2003. It comes with new interface and an enhanced display. It uses Microsoft TreeView control to display and explore any kind of MatLab stru
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:197894
    • 提供者:jack
  1. ArraysPointersInC

    0下载:
  2. This a short text on arrays and pointers in C with an emphasis on using multi-dimensional arrays. The seemingly unrelated C rules are explained as an attempt to unify arrays and pointers, replacing arrays and the basic array equation by a n
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:5191
    • 提供者:asi
  1. animprovedFPgrowth

    0下载:
  2. 本文描述了一种基于巨阵模式改进的fpgrowth算法,有很好的参考价值。-This paper describes a model based on the huge array fpgrowth improved algorithm has a very good reference value.
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:127995
    • 提供者:12345678
  1. fpgafft

    0下载:
  2. :文章针对目前数字信号处理中大量采用的快速傅立叶变换[FFT] 算法采用软件编程来处理的应用现状,在对FFT 算法进行 分析的基础上,给出了用FPGA[Field Programmable Gate Array] 实现的8 点32 位FFT 处理器方案,并得到了系统的仿真结果。 最后在Altera 公司FLEX10K系列FPGA 芯片上成功地实现了综合。-Based on the analysis of the FFT algorithm , a reasonable logic str
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:220333
    • 提供者:王晓
  1. findmoney

    0下载:
  2. 10. 设有n种不同面值的硬币,各硬币的面值存在于数足T[n]中。现要用这些面值的硬币来找钱。可以使用的各种面值的硬币个数存于数组Coins[n]中。对任意钱数0<=m<=20009,设计一个用最少硬币找钱m的方法。-10. There are n different denominations of coins, each coin with a face value exists in the number of adequate T [n] Medium. Is to use
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:7511
    • 提供者:林大嘴
« 1 2 3 4 5 6 78 9 10 11 12 ... 37 »
搜珍网 www.dssz.com