CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - dac

搜索资源列表

  1. DE1_Audio_AdcDac

    0下载:
  2. FPGA DE1 AUDIO ADC/DAC CODE
  3. 所属分类:Project Design

  1. AD5551_datasheet

    0下载:
  2. AD5551和AD5552是单身,14位串行输入电压 dac输出操作从一个5 V±10 供应。 AD5551和AD5552利用多功能3-wire接口 与SPI兼容,QSPI、导电带和DSP接口吗 标准。 这些dac提供14位的性能没有任何调整。 DAC输出无缓冲的,减少权力 消费和抵消错误提供的输出缓冲区。 与外部的AD5552运算放大器可以在双相操作 模式生成±VREF输出摆动。AD5552还 包括开尔文连接的引用和模拟 地面销减少布局灵敏度。为更高
  3. 所属分类:Project Manage

    • 发布日期:2017-03-30
    • 文件大小:190784
    • 提供者:Kevin
  1. spraaj2

    0下载:
  2. It is about TMS320F28335 while use a AIC23 to process the audio signal. ADC and DAC is included to this package and we can manipulate it.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:93570
    • 提供者:Prasetya Anggi
  1. Altera-FPGA-Testing-v1

    0下载:
  2. This document describes functionality testing of the Altera Cyclone III FPGA Starter Kit Development Board. It also includes testing of associated daughterboards, i.e. the ADA ADC/DAC board and the HSMC to GPIO adapter board.
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1209142
    • 提供者:mchi2ph2
  1. 1768record

    0下载:
  2. LPC1768 d的录音程序 其中有flash驱动和ADC驱动和DAC驱动-LPC1768 drive for ADC and DAC
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:240088
    • 提供者:谷庆元
  1. DAC7811

    0下载:
  2. DAC7811是一款常用的串行SPI通信的DAC其电路形式简单编程容易-DAC7811 is a commonly used the DAC with simple circuit form of serial SPI communication programming easier
  3. 所属分类:Software Testing

    • 发布日期:2017-04-12
    • 文件大小:1289
    • 提供者:张晨硕
  1. TLV5618

    0下载:
  2. TLV5618是一款常用的DAC芯片其电路形式简单,编程容易 使用范围较广-TLV5618 is a commonly used form of DAC chip, its circuit is simple, easy to use a wide range of programming
  3. 所属分类:Software Testing

    • 发布日期:2017-05-21
    • 文件大小:6129573
    • 提供者:张晨硕
  1. snj_rf_rev2

    0下载:
  2. RF laser control board. schematic of control board. rs232,I/o ,interlock. footswitch, DAC converter laser output signal
  3. 所属分类:Project Design

    • 发布日期:2017-05-01
    • 文件大小:181908
    • 提供者:KIM JI YOON
  1. C8051F350-test-board-

    2下载:
  2. c8051开发文件 包含24bitadc、dac、timer、eeprom-c8051 Development document 24bitadc、dac、timer、eeprom
  3. 所属分类:软件工程

    • 发布日期:2017-05-29
    • 文件大小:1244103
    • 提供者:宋川
  1. DAC

    0下载:
  2. 将数字信号转换成模拟信号,并对其进行存储,读取。- the structure and function of the A/ D
  3. 所属分类:Communication

    • 发布日期:2017-06-11
    • 文件大小:18900131
    • 提供者:liqian
  1. DA_test

    1下载:
  2. 搭配DAC7724U ADC转换芯片测试程序。可产生方波、正弦波、三角波、锯齿波(With DAC7724U ADC conversion chip test program. Can produce Fang Bo, sine wave, triangle wave)
  3. 所属分类:软件工程

    • 发布日期:2017-12-11
    • 文件大小:670851
    • 提供者:darren_zhang
  1. Double-PCM1794A原理图.pdf

    0下载:
  2. 双并pcm1794a磨机板电路图,pcb布局及原件布局(Double pcm1794a hifi dac)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-13
    • 文件大小:370593
    • 提供者:Reycomega
  1. Blinky

    1下载:
  2. STM32F446 包括IO操作,SPI操作,内部定时器,ADC的DMA方式,DAC的DMA方式,UART的DMA方式,CAN的通用方式。适合STM32F4xx系统(STM32F446 includes IO operation, SPI operation, internal timer, DMA mode of ADC, DMA mode of DAC, DMA mode of UART, common mode of CAN. Suitable for STM32F4xx system)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-30
    • 文件大小:8242176
    • 提供者:Gaowenbin
  1. ES9028PRO-Datasheet-v2.0-160707-

    1下载:
  2. ES9028PRO 32-Bit HyperStream II 8-Channel Audio DAC datasheet
  3. 所属分类:文章/文档

    • 发布日期:2018-05-04
    • 文件大小:1105920
    • 提供者:pasnew
  1. ES9038 Datasheet

    3下载:
  2. 32-bit Stereo Mobile Audio DAC
  3. 所属分类:编程文档

  1. MCP4725中文datasheet

    0下载:
  2. MCP4725 是低功耗、高精度、单通道的 12 位缓冲电压输出数模转换器(Digital-to-Analog Convertor,DAC),具有非易失性存储器(EEPROM)。其片上精密输出放大器使其能够达到轨到轨模拟输出摆幅。用户可以使用 I2C 接口命令将 DAC 输入和配置数据烧写到非易失性存储器(EEPROM)。非易失性存储器功能使得 DAC 器件在断电期间仍能保持 DAC 输入代码,且 DAC 输出在上电后立即可用。
  3. 所属分类:其它文档

    • 发布日期:2019-05-08
    • 文件大小:1690971
    • 提供者:ymm_0301@
  1. ES9018-ESS.pdf

    0下载:
  2. ESS ES9018 32bit DAC datasheet
  3. 所属分类:文章/文档

    • 发布日期:2019-07-04
    • 文件大小:649216
    • 提供者:eziitis
  1. 射频仿真系统

    0下载:
  2. 在雷达信号处理机的设计研发过程中,为了保证系统的性能指标要求,往往需要 进行大量的外场测试试验。本文针对雷达信号处理机在测试过程中所必需面对的测试 周期长、测试场景选择困难、测试投入经费过高等问题,设计研发了一款高性能、小 型化的雷达回波模拟器,该雷达回波模拟器采用全可编程片上系统(All Programmable SoC)ZYNQ-7000 作为主控核心单元,采用高性能数据转换串行接口 JESD204B 进行 数据传输。针对不同应用场景和不同体制的雷达信号处理机,分别对高性能 DA
  3. 所属分类:编程文档

« 1 2 3 4 5»
搜珍网 www.dssz.com