CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - main

搜索资源列表

  1. main

    0下载:
  2. 读卡器的C语言实现,是读卡器与IC卡之间的底层程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1945
    • 提供者:swjtuhy
  1. LCD-dianzicheng.RAR

    0下载:
  2. LCD显示电子计价秤方案 1. 该方案使用的传感器为20KG,灵敏度约为0.5mv/v,选用新传感器线性较好的一段(100g-15Kg),直接处理,没有做非线性修正,称重可能会有偏差. 2. 软件基于KEILC开发,使用单片机的型号为AT89S52,在main.c文件中有详细说明。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:421229
    • 提供者:qiudehua
  1. 沈阳黄页业务管理系统开发报告

    0下载:
  2. 本系统主要以沈阳黄页业务管理为基本要求,主要功能包括:1. 业务员及业务经理权限的设定。2. 业务员部门的划分。3. 业务员在线录入信息。4. 企业公告版。5. 站内短信留言。-mainly to the system in Shenyang Yellow Pages business management as the basic requirements, main functions include : 1. Clerks and business manager of the autho
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:576293
    • 提供者:孙旭
  1. 态度决定一切

    0下载:
  2. 《态度决定一切:披露一切人生财富的心理根源》 [美]罗曼·V·皮尔 著  这是一本极具价值的人生指南读物,它能迅速提高你对生活的感知,并帮助你确立和提升自己的人生定位。充满睿智、富于创意的格言能让你以更加积极的态度去面对朋友、家人、工作和自己,并以感恩之心去面对生活的每一天。作者罗曼·W·皮尔是\"积极成像\"观点的主要倡导者。此书曾在美国最畅销书排行榜上整整待了十年时间,从此\"态度决定一切!\"成为表达积极思维力量的一句口头禅传遍了全世界。-"attitude is everyth
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:131263
    • 提供者:独孤一笑
  1. QuanXian

    0下载:
  2. ERP中的一个子模块,(权限分类主表维护),用于设置权限的模块-ERP son of a module (main competence classification table maintenance), the authority for the establishment of the module
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:18291
    • 提供者:唐少强
  1. 库房管理-软件工程

    0下载:
  2. 软件工程作业的一个库房模拟系统 库房内容自己数组定义 主要体现各层数据流图特性-software engineering operations of a Treasury coffers simulation system as their main array definition floors data flow diagram characteristics
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:69468
    • 提供者:海浪
  1. CANopenAPI

    0下载:
  2. The networking standard CANopen does not specify or standardize an application programming interface (API) for accessing the CANopen network protocol stack of CANopen nodes. Most existing CANopen implementations use their own API and as a result o
  3. 所属分类:文档资料

    • 发布日期:2009-06-13
    • 文件大小:60808
    • 提供者:iamljz
  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. A New Phase-Locked Loop (PLL) System

    1下载:
  2. An enhanced phase-locked loop (PLL) system is presented and its properties and performance characteristics are investigated. Advantages of the proposed PLL structure over the conventional PLLs including its capability of direct estimation of ampli
  3. 所属分类:文档资料

    • 发布日期:2010-09-17
    • 文件大小:418825
    • 提供者:yangyansky
  1. machine learning

    0下载:
  2. this documents main for the some homework solutions about the machine learning class
  3. 所属分类:文档资料

    • 发布日期:2010-12-01
    • 文件大小:4875922
    • 提供者:lxl99868
  1. 10W POWER SMPS USING VIPer22A

    0下载:
  2. VIPower: 10W POWER SMPS USING VIPer22A FOR AIR-CONDITIONER APPLICATION 1. ABSTRCT New air-conditioner systems use two main lowvoltage outputs to supply the internal electronic equipment, respectively these values are +12V and +5V. These low out
  3. 所属分类:文档资料

    • 发布日期:2011-07-13
    • 文件大小:125667
    • 提供者:Tetachi
  1. FM2018-380-Launch-PACK_042409

    5下载:
  2. 主要功能是消回音,消噪音,消回声,消噪声。效果不错。-Main function is to echo cancellation, noise cancellation, echo cancellation, noise-cancellation. Good results.
  3. 所属分类:软件工程

    • 发布日期:2012-08-22
    • 文件大小:4822429
    • 提供者:邓斌峰
  1. startUML教程(PPT)格式

    0下载:
  2. startUML教程(PPT)格式 本资料对UML1.5各种模型图的构成和功能进行说明,通过本资料的学习达到可以读懂UML模型图的目的。本资料不涉及模型图作成的要点等相关知识-startUML tutorials (PPT) format of the data model diagram of UML1.5 and function of the composition described by the data to be read to learn the purpose of the U
  3. 所属分类:软件工程

    • 发布日期:2017-03-22
    • 文件大小:559805
    • 提供者:huang
  1. em78.义隆单片机em78系列全教程

    1下载:
  2. 义隆单片机em78系列全教程,主要为义隆单片机开发者参考使用。,Elan em78 Series single-chip full-course, the main developer for the Elan single-chip reference.
  3. 所属分类:文件格式

    • 发布日期:2017-03-21
    • 文件大小:769604
    • 提供者:罗春成
  1. shearlet.主要讲解剪切波最新有关的资料或论文

    0下载:
  2. 主要讲解剪切波最新有关的资料或论文,其用于图像处理方面的应用,The main shear-wave on the latest relevant information or thesis, and its used for image processing applications
  3. 所属分类:行业发展研究

    • 发布日期:2017-05-19
    • 文件大小:5138738
    • 提供者:wanglinghua
  1. 仓库管理概要设计说明书

    0下载:
  2. 仓库管理概要设计说明书,主要说明仓库管理系统在设计之前的概要说明.,Warehouse Management Design Manual summary, the main note in the design of warehouse management system outlined before.
  3. 所属分类:软件工程

    • 发布日期:2017-03-23
    • 文件大小:10442
    • 提供者:sda
  1. NiosII_implementation_in_CCD_C

    0下载:
  2. The concept of the Altera Nios II embedded processor implementation inside Field Programmable Gate Array [FPGA] of the CCD camera for the “Pi of the Sky” experiment is presented. The digital board of the CCD camera, its most important components, cur
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1427409
    • 提供者:Francis Wu
  1. RS485.rar

    0下载:
  2. 用RS485通信,实现门禁系统的控制,本程序主要实现通信代码。用51单片机,MAX485芯片通信,Using RS485 communications, the implementation of Access Control Systems control, implementation of this procedure the main communications code. With 51 single-chip, MAX485 chip communication
  3. 所属分类:Project Design

    • 发布日期:2017-03-25
    • 文件大小:68475
    • 提供者:方荣
  1. Linux_Udisk.rar

    0下载:
  2. 主要讲linux下u盘驱动的源代码分析,内核是2.6.22的,讲的还比较全面,The main speaker u disk drive under linux source code analysis, the kernel is 2.6.22, and also talked about a more comprehensive
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1206628
    • 提供者:elang
  1. 汇编代码中对C函数调用时如何进行参数的传递以及如何从C函数正确返回

    0下载:
  2. 对于ARM体系来说,不同语言撰写的函数之间相互调用(mix calls)遵循的是 ATPCS(ARM-Thumb Procedure Call Standard),ATPCS主要是定义了函数呼叫时参数的传递规则以及如何从函数返回,关于ATPCS的详细内容可以查看ADS1.2 Online Books ——Developer Guide的2.1节。这篇文档要讲的是汇编代码中对C函数调用时如何进行参数的传递以及如何从C函数正确返回,ARM system for example, written in
  3. 所属分类:编程文档

    • 发布日期:2017-03-22
    • 文件大小:4873
    • 提供者:张文祺
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com