CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - seven segment

搜索资源列表

  1. qiduanshumaguanxianshi

    0下载:
  2. 这是单片机实验基于AT89C52,使七段数码管静态显示数字2012.显示内容可以设置。-This is a single-chip experiment based on AT89C52, the seven-segment display digital still 2012. Display can be set.
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:75375
    • 提供者:李涛
  1. LEDxs

    0下载:
  2. LED数码管显示的知识介绍,包括七段数码管的编码、用单片机驱动LED数码管显示两方面内容,是学习单片机及做单片机开发的好资料。-LED digital tube display knowledge of presentations, including seven-segment digital tube coding, with MCU-driven LED digital tube display two aspects, is to study the development of MCU
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:14553
    • 提供者:qiancunquan
  1. jiaotongxinhaodengkongzhiqidesheji

    0下载:
  2. 本论文主要介绍了红、绿、黄三色交通信号灯较简单的数字逻辑控制电路设计及其原理。本设计方案由定时器、分频器、扭环形计数器、十进制减法器及七段显示译码器实现交通灯红、黄、绿三色的自动切换,在切换灯光颜色的同时进行时间定时状态的切换,使整个交通灯系统得以按照事先设定的定时时间顺利运转。-This paper focuses on the red, green, yellow three-color traffic signal control of the relatively simple digi
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1248291
    • 提供者:阿杰
  1. sevensegment

    0下载:
  2. this the program for seven segment diasplay in P89c51 microcontroller-this is the program for seven segment diasplay in P89c51 microcontroller
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:1063
    • 提供者:srikanth
  1. 1

    0下载:
  2. 在STAR ES598PCI单板开发机上实现对电烤箱温度和时间的控制功能。 设计要求: (1)通过键盘设定温度和加热时间。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度进行比较。 (3)当温度低于设定值时,通过DAC0832输出电压供给发热电阻RT1,使其温度升高。 (4)使温度恒定在设定温度附近,时间到了停止加热,并提示操作完毕。 -In the STAR ES598PCI veneer development machine
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:278558
    • 提供者:
  1. 2

    0下载:
  2. ① 设计并开发能检测温室温度信号,并能产生报警信号的系统; ② 通过在程序中设置温度界限值,能判断采集的温度是否超过界限值; ③ 若温度值越界则进行声(蜂鸣器)、光(发光二极管)报警; 说明:界限值是自行设定的(26C),其所对应的数字量为十六进制数(0180H)。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度进行比较。 (3)当温度低于设定值时,通过DAC0832输出电压供给发热电阻RT1,使其温度升高。 (4)使温度恒定
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:289911
    • 提供者:
  1. 3

    0下载:
  2. 题目要求设计一个用于智力竞赛的抢答计时器。主持人按下启动钮,开始抢答,参赛方(八方)看到允许抢答信号后分别按不同的抢答按钮参与抢答。一旦其中一方按下按钮,相应的抢答者编号显示在屏幕上,此时若其他按钮按下均无效。若无一人按下按钮,则抢答结束(以后按抢答无效)。③ 若温度值越界则进行声(蜂鸣器)、光(发光二极管)报警; 说明:界限值是自行设定的(26C),其所对应的数字量为十六进制数(0180H)。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:105791
    • 提供者:
  1. 4

    0下载:
  2. 用STARES598PCI单板开发机设计一个应用接口芯片作为四个七段LED数码管的输出口,编写一段程序,使8个LED数码管依次闪烁左移显示从输入口的逻辑开关读入的4位数字,闪烁频率为每秒一次。其外,还需实现一些附加功能,比如:可控制左移还是右移;可重新输入另外一个四位数;输入时可回显;以及输入时的十六进制到十进制的转换的等问题。 由此可见,要实现以上的功能,需要8个7段LED数码管和逻辑开关,闪烁的频率为1s。从开关读数,用LED显示。 -Machine Design STARES598
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:103057
    • 提供者:
  1. Charlieplexing

    0下载:
  2. this a PIC code + simulation file that demonstrate how to use charlieplexing to drive many seven segment display digits using a single PORT in PIC microcontroller.user need "Mkrobasic" to open source code and "Proteus" to open simulation-this is a PI
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:66524
    • 提供者:mohamed
  1. 2-16showdecoder

    0下载:
  2. 输入为二进制,输出为十六进制的七段发光译码器-Binary input and output for the seven-segment light hexadecimal decoder
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:31079
    • 提供者:大海
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. scanning-circuit

    0下载:
  2. 扫描显示电路 用于七段数码管的扫描显示,是fpga编程的基础,有助于从事可编程逻辑器件的学习-Scan display circuit for the seven-segment LED display scan is based fpga programming, programmable logic devices will help in learning
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:2663
    • 提供者:zhaohong
  1. Prak-Vc

    0下载:
  2. seven segment interupt
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:4267
    • 提供者:Japra
  1. jiaotongdeng

    0下载:
  2. 交通信号灯控制器的设计 本设计应用基本数字电路知识,采用LED灯作红、绿、黄三交通灯,用七段数码管作同步倒计时显示。实现两方向通行时间不相等的控制并配有倒计时。-Traffic signal controller design basic digital circuits of the knowledge of design applications, the use of LED lights for red, green, and yellow traffic lights, for syn
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:477136
    • 提供者:郭天
  1. seven-segment

    0下载:
  2. 七段数码管显示程序,单片机程序,与大家共享-The seven-segment digital tube display program, SCM, and share
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:57119
    • 提供者:guofengying
  1. seven-segment

    0下载:
  2. seven segment with 8051
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:13907
    • 提供者:dev
  1. DHT11TEST

    0下载:
  2. 该程序用于用51系列单片机读取温湿度传感器DHT11的温湿度数据,并的七段数码管显示出来。可作为温湿度自动控制方面的应用 -The program for 51 series microcontroller reads temperature and humidity sensor DHT11 temperature and humidity data, and the seven-segment digital tube display. Available applications as
  3. 所属分类:software engineering

    • 发布日期:2017-12-07
    • 文件大小:6422
    • 提供者:kate
  1. shu-ma-guan

    0下载:
  2. 这是一个在七段数码管上显示时,分,秒的vhdl 完整程序,希望对大家有用。-This is a seven-segment digital tube display hours, minutes, and seconds vhdl complete program, I hope useful.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-03
    • 文件大小:987
    • 提供者:王玲
  1. VHDL_keyboard-scan

    1下载:
  2. 实验内容:设计一个BCD码到LED的七段译码器 DICEDIS,非BCD值时仅G段亮(输出为一。然后下载验证键盘显示实验。接着改写程序,将1-6的数据固定地显示在1-6位数码管上。进而将F键功能改为换向键,即可以控制数字滚动显示的方向。 -Experiment: Design a BCD code to the LED seven segment decoder DICEDIS, non-BCD value G segment only bright (output is a. Then d
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:445071
    • 提供者:陈芳
  1. SevenSegment

    0下载:
  2. nueural network seven segment
  3. 所属分类:文章/文档

    • 发布日期:2018-04-28
    • 文件大小:17408
    • 提供者:chupit
« 12 »
搜珍网 www.dssz.com