CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - switch VHDL

搜索资源列表

  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer is a dedicated chip, using the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6951
    • 提供者:段苛苛
  1. dice-game

    0下载:
  2. dice game in vhdl program, perform in hex and control by switch in kit FPGA alterna De1
  3. 所属分类:Document

    • 发布日期:2017-05-21
    • 文件大小:6214200
    • 提供者:ha ha
  1. atmel

    0下载:
  2. brushless dc motors,sensorless control,three phase four switch inverter using field programmable gate array vhdl
  3. 所属分类:Development Research

    • 发布日期:2017-12-06
    • 文件大小:1585076
    • 提供者:sobia
  1. vhdl

    0下载:
  2. library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:378333
    • 提供者:刘轩赫
  1. VHDLtime

    0下载:
  2. 实现秒表设计,实现秒、百分秒之间的相互切换,初级VHDL代码-Realize stopwatch design, implementation seconds, percentage of seconds to switch between each other, the primary VHDL code
  3. 所属分类:Document

    • 发布日期:2017-06-12
    • 文件大小:19535870
    • 提供者:张宏达
搜珍网 www.dssz.com