CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - triangular wave using vhdl

搜索资源列表

  1. waveform-signal-generator

    0下载:
  2. 基于FPGA的波形信号发生器的设计和实现,利用VHDL语言实现正弦波三角波等波形信号输出-The waveform signal generator based on FPGA design and implementation, using VHDL language to realize sine wave triangular wave and waveform signal output
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:2051905
    • 提供者:ocpuy
搜珍网 www.dssz.com