CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 最新

搜索资源列表

  1. wlgf

    1下载:
  2. 为了确保校园网的安全,查找出可能的攻击,本文设计并实现了一个简单的基于规则的网络入侵检测系统。整个系统可实现网络抓包、入侵检测和日志记载,能够检测出目前黑客最常用的一些攻击手段。本文还阐述了网络入侵检测系统的基本原理、功能与结构、检测引擎的构造、现有的检测技术、国内外最新的有关产品及发展方向;分析了校园网的入侵检测需求;说明了校园网入侵检测系统的内部机制和实现方法;最后还介绍了网络入侵检测系统的规则应用。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:350192
    • 提供者:季节
  1. softwareengineeringPPT

    0下载:
  2. 很详细的软件工程教课的课件,北京邮电大学今年最新的课件,PPT格式的。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:9734031
    • 提供者:宋莹
  1. CS5532

    0下载:
  2. CS5532用户手册。 CS5532是美国CRYSTAL公司最新△∑模数转换器,由于运用了电荷平衡技术,其性能可以达到24位,内部有一个极低噪声增益可选的载波稳定仪表放大器和一个4阶△∑调制器,三线串行接口,可直接与单片机连接,非常适合测量称重传感器输出的直流毫伏信号.具有动态范围宽,电源配置灵活,体积小,功耗低,抗干扰能力强等特点,使得该芯片成为目前用于无线电子吊秤的理想产品.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:579457
    • 提供者:周桂永
  1. flashget_728_1

    0下载:
  2. 最新版下载工具FLASHGET,有需要的请下载最新的下载工具
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:4618419
    • 提供者:song
  1. waitbar

    0下载:
  2. 东北师范大学研究生学院精心研究最新成果,觉得好。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1334
    • 提供者:杨晓蔚
  1. book

    0下载:
  2. 本书所有资料均搜集自网络,三千数据只做整理集中。作者制作本书的目的只是为了更好的方便各位使用者 ,让您在轻松使用的过程中快速的浏览到动易程序的各种最新帮助信息。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:5890744
    • 提供者:张羽白
  1. JMReferenceSoftwareManual

    0下载:
  2. 有关H264官方最新版本JM14.1参考软件的参考文献,英文版,非常有用,供大家参考学习
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:346712
    • 提供者:arui
  1. jg

    1下载:
  2. 最新的激光测距仪应用,激光测距仪应用
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:90655
    • 提供者:choiceman
  1. UML2.1.2Infrastructure

    0下载:
  2. UML2.1.2最新标准,OMG Document Number: formal/2007-11-04。对研究UML最新标准有很好的参考价值。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:829367
    • 提供者:hym
  1. mpeg_H_264

    0下载:
  2. 最新视频编码标准H_264及其核心技术.PDF
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:718302
    • 提供者:tan
  1. SD-card-std

    0下载:
  2. 说明: 本SD卡高度集成闪存,具备串行和随机存取能力。可以通过专用优化速度的串行接口访问,数据传输可靠。接口允许几个卡垛叠,通过他们的外部连接。接口完全符合最新的消费者标准,叫做SD卡系统标准,由SD卡系统规范定义。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:132164
    • 提供者:唐工
  1. TestInsi640-802v12

    0下载:
  2. ccna640-802最新题库,对于通过这一认证很有帮助。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6852328
    • 提供者:ee
  1. BEAGLE_ORCAD_B4

    0下载:
  2. TI最新处理器OMAP3530原理图。对于新设计有很大参考意义。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:261187
    • 提供者:maoweiyong
  1. 学生选课系统案例

    0下载:
  2. 最新的学生选课源代码,用购物车实现的,SESSION的编译方法,是学生课程设计的最好材料,最佳参考-students Course latest source code used to Cart achieve, and the compiler SESSION, curriculum design students is the best material, the best reference
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11392
    • 提供者:宛如
  1. 金山词霸2010牛津旗舰版安装及故障终极解决方案

    0下载:
  2. 应该也适用于特惠版 仅针对XP系统,Win7使用者可参考,未做测试 作者:scmeiqy 本文档仅作为本人安装使用备忘录,如果涉及版权之类的东西,与本人无关. 假如你不小心发现了它,请立即删除 一.安装: 1.使用官方的 http://download.iciba.com/Pw2010_Ultimate/PowerWord2010Oxf_Ultimate.exe 2.破解方法: 使用压缩包:网上有的,里面最新日期应该是20100701 金山词霸2010破解补丁.rar 或者
  3. 所属分类:软件工程

    • 发布日期:2010-10-31
    • 文件大小:15224
    • 提供者:asdkfjsd
  1. 802.11n无线局域网射频收发器的系统级建模及仿真

    2下载:
  2. 随着计算机技术与通信技术日新月异的发展,无线局域网在个人和商业计算机 领域日益流行起来。随着用户的要求不断提高,无线局域网向更高频率、更宽带宽 的方向发展。IEEE802.11a/b/g是现在市场占有较大优势的无线协议标准,但是也越 来越不能满足无线局域网通讯的要求。IEEE802.11n标准是对802.11g的一场更新换 代。802.11n可以提供更高的传输速率,更广的覆盖范围,兼容802.11a/b/g。随着 频率的提高和带宽的增加还有协议的日益复杂性,射频前端的设计越来越不能脱离 数字基带
  3. 所属分类:软件工程

    • 发布日期:2011-01-29
    • 文件大小:1364506
    • 提供者:limingking2008
  1. webOS

    0下载:
  2. 基于最新的网络操作系统的调研,原创,介绍了工作原理和相关例程
  3. 所属分类:软件工程

  1. Automated High Accuracy Geometric Correction and Mosaicking without Ground Control Points

    0下载:
  2. 本文档为加拿大遥感中心骨灰级教授Toutin和其同伴的研究成果,较为系统地介绍了radarsat2的RPC几何校正缺陷,并提出最新的几何校正方法,为该卫星的应用提供很好的参考文献。
  3. 所属分类:软件工程

  1. sprc087.zip

    1下载:
  2. C28x IQmath v1.5版下載,目前在Ti網站最新。 版本日期 2008/07/08,Download C28x IQmath v1.5, the latest in the Ti site. Version Date 2008/07/08
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1407674
    • 提供者:Rick
  1. mtkv3225qnpt.rar

    0下载:
  2. MTK全能平台最新MTK升级平台,针对6225CPU升级不开机,无加密任何仪器都能与之搭配,此平台基本可以解决。,最新MTK升级平台,针对6225CPU升级不开机,无加密任何仪器都能与之搭配,此平台基本可以解决。
  3. 所属分类:Software Testing

    • 发布日期:2017-05-17
    • 文件大小:4789846
    • 提供者:创兴
« 1 2 3 45 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com