CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 正弦波 方波 三角波

搜索资源列表

  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. DDS

    0下载:
  2. VHDL实现谐波检测信号发生的DDS. 同时发出正弦波,三角波,正弦波2倍频后的方波。波形频率相位可调。-VHDL implementation of harmonic detection signal of the DDS. Also issued a sine wave, triangle wave, sine wave, after square-wave frequency 2. Phase adjustable frequency waveform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17133
    • 提供者:徐博
  1. weijibaogao.rar

    0下载:
  2. 微机原理课程设计报告,波形发生器的设计,能产生正弦波,方波,锯齿波和三角波,能调频调压,Computer Principles of curriculum design report, the design of waveform generator can produce sine wave, square wave, sawtooth wave and triangular wave, FM regulator can
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:184096
    • 提供者:唐钱江
  1. boxingxinhaofashengqi

    0下载:
  2. 主要设计制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的 特定形状波形 -Main design to produce a waveform generator, the waveform generator can produce sine, square, triangle wave and by the user to edit the specific shape of waveform
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:16761
    • 提供者:程文冠
  1. WaveformGenerator

    0下载:
  2. 波形发生器设计与总结报告 摘 要:本设计是基于信号发生芯片MAX038的多功能波形发生器。由MAX038、D\A转换,MAX414运算放大器、LCD12864显示、单片机以及外围电路构成的多波形发生器。利用MAX038产生正弦波、三角波、锯齿波、方波的波形,单片机通过D\A转换对MAX038的控制,从而实现频率和占空比的步进调控,在1Hz~2.4MHz内产生任意正弦波、三角波、锯齿波和方波。 采用MAX414和TLC549构成信号放大采样电路,用液晶模块LCD12864可实现实时显示波
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:150724
    • 提供者:liaoyintang
  1. GeneralDesignOfElectronic

    0下载:
  2. 电子技术综合设计实验报告 采用555定时器,由555产生方波信号,方波经RC积分电路积分后即可得到三角波,再由三角波通过RC积分电路得到正弦波,该方案集成度高,同时产生正弦波的方法简单、易调。但实际遇到种种困难不能在短时间内解决,所以又设计了用运放电路产生正弦波的电路,并验证了其正确性。-General design of electronic technology experiment using 555 timer report, produced by the 555 square-w
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:153701
    • 提供者:serenacen
  1. MAX038suoyouziliao

    0下载:
  2. 收集了几乎全部的高频方波三角波正弦波发生器MAX038及其各种应用论文,对设计多波形信号发生器级有帮助,欢迎下载.-Collected almost all the high-frequency square wave triangle wave sine wave generator and its various applications MAX038 papers on the design Multi-Signal Generator-class help are welcome to
  3. 所属分类:Project Design

    • 发布日期:2017-05-18
    • 文件大小:4967703
    • 提供者:烟雨楼
  1. waveform_generator

    0下载:
  2. 该函数波形发生器的功能是能产生相应的正弦波,方波对八路电压输入(0--0.8v) 进行巡回测量。 通过按键控制,LED数码显示指定某路的电压。 ,锯齿波,三角波 -The function waveform generator function is to produce a corresponding sine wave, square wave voltage input to the eight-way (0- 0.8v) to conduct roving measureme
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:143308
    • 提供者:梁冬梅
  1. aa

    0下载:
  2. 设计制作一个任意波形发生器,该波形发生器能产生正弦波、方波、三角波,通过一定的通信方式将用户编辑的任意形状波形数据从PC机传输至微控制器,并从波形生成电路输出所需的波形。波形发生器能够在一定范围内保证恒压输出-Designed by an arbitrary waveform generator, the waveform generator can produce sine, square, triangle wave, through a certain means of communica
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:54244
    • 提供者:谢云辉
  1. GM

    0下载:
  2. 用74系列数字器件设计一个频率计。要求: ① 用4位7段数码管显示待测频率,格式为0000Hz。 ② 测量频率范围:10~9999Hz。 ③ 测量信号类型:正弦波、方波和三角波。 ④ 测量信号幅值:0.5~5V。 ⑤ 设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。 -74 series of digital devices designed to use a frequency counter. Requirements: ① with four 7-seg
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:463647
    • 提供者:曼曼
  1. AD9850

    0下载:
  2. AD9850的相关源程序,可生成三中波形,正弦波,方波,三角波-The source, of the AD9850 can generate waveform III, sine wave, square wave, triangle wave
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:4357
    • 提供者:丁力
  1. boxingfashengqi

    0下载:
  2. 用于DDS ad9834的波形发生器的设计研究,能产生高频率的正弦波,三角波,方波-Used for the DDS ad9834 waveform generator design research, can produce high frequency sine wave, triangle wave, square wave
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:1490088
    • 提供者:朱亮
  1. waves

    0下载:
  2. C51 实现波形发生器 频率,幅度,使用电位器连续可调 正弦波,三角波,方波,锯齿波。-C51 waveform generator frequency, amplitude, and the use of potentiometers continuously adjustable sine wave, triangle wave, square wave, sawtooth wave.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:97610
    • 提供者:feijia
  1. dds_project

    0下载:
  2. DDS直接数字频率合成器,能产生正弦波,方波,锯齿波,三角波四种波形,同时能在12864上显示波形类型和频率,用FPGA verilog实现的-DDS direct digital frequency synthesizer can produce sine, square wave, sawtooth wave, triangle wave four waveform, while in the 12864 on display the waveform type and frequency
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31589376
    • 提供者:邹雪峰
  1. DDS.ZIP

    0下载:
  2. 基于FPGA的DDS信号发生器设计,能显示至少三种波形,方波,三角波,正弦波-FPGA-based DDS signal generator design, capable of displaying at least three waveforms, square wave, triangle wave, sine wave
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:1068544
    • 提供者:杨开意
  1. waveform-generator

    0下载:
  2. 关于如何做波形发生器,及正弦波、三角波、方波,三种波相互转换!-On how to do the waveform generator, and the sine wave, triangle wave, square wave, three-wave conversion!
  3. 所属分类:software engineering

    • 发布日期:2018-04-18
    • 文件大小:253952
    • 提供者:瞧一瞧
  1. ddsaaa

    0下载:
  2. DDS信号发生器程序 用按键可产生方波 矩形波 正弦波 三角波等四种波形 频率 1~10khz-DDS signal generator program keys produce a square wave rectangular wave sine wave triangle wave the four waveform frequency 1 ~ 10khz
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:53184
    • 提供者:黄亚辉
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. shuzilvboqi

    0下载:
  2. FIR数字滤波器 用MATALAB编写 可以滤除各种干扰 适于方波 正弦波 三角波 等各种波形 -FIR digital filters can filter out using various interference MATALAB prepared for square wave sine wave triangle wave and other
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:184768
    • 提供者:张强
  1. DAC0832

    0下载:
  2. 单片机输出正弦 方波 三角波 锯齿波,DAC0832应用实例。波形发生器-Microcontroller output sine square wave triangle wave sawtooth
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:20120
    • 提供者:liuxin
« 12 »
搜珍网 www.dssz.com