CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 秒表

搜索资源列表

  1. timetool

    0下载:
  2. VB 秒表时钟的制作 在计算机是1000毫秒的计算情况下,以60进1秒的计算方法-VB stopwatch clock production in 1000 is a computer calculation of milliseconds, 60 seconds into a method of calculation
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:9040
    • 提供者:关盛鸿
  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer is a dedicated chip, using the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6951
    • 提供者:段苛苛
  1. miaobiaosheji

    0下载:
  2. 本程序是一个实现秒表功能的设计程序,附带时钟功能.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:42971
    • 提供者:好惟
  1. Clock

    0下载:
  2. 用于体育比赛的数字秒表,计时器能显示 0.01s的时间,最长计时时间为 24h。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:79238
    • 提供者:王易飞
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. 85375565gaojingdushuzimiaobiao

    0下载:
  2. 微机接口程序设计中用到8253,8255的汇编语言设计秒表
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2169
    • 提供者:简单
  1. digitalclockbasedoFPGA

    0下载:
  2. 有时间显示与设置、秒表、闹钟、日期显示与设置功能,用6个数码管显示。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:211791
    • 提供者:卓义伟
  1. pro

    0下载:
  2. 汇编语言 电子秒表的程序 有需要的下去看下 电子秒表电路,可在开发版上下载运行
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:992
    • 提供者:89
  1. 89s52clock

    1下载:
  2. C51编写的基于单片机的秒表时钟设计。包括原程序及原理图和PCB设计。-C51 prepared by the stopwatch clock based on single chip design. Including the original program and the schematic and PCB design.
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:296719
    • 提供者:王明
  1. KESHE

    0下载:
  2. 基于FPGS的数字秒表设计文件 含有计时,停止,复位,清零功能-FPGS-based digital stopwatch design document contains a time, stop, reset, Clear Function
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:296369
    • 提供者:豆豆
  1. zuiniuclock

    0下载:
  2. 基本数字表功能 动态扫描显示 有闹钟功能 秒表功能- it is a muti_fuction watch
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1888
    • 提供者:zhangxinbin
  1. design

    0下载:
  2. 秒表/时钟计数器要求用六位LED数码显示时,分,秒,以24小时计时方式。使用按键开关可实现时分调整,秒表/时钟功能转换,省电等功能。 -Stopwatch/clock counter asked six LED digital display with hour, minute, second, the way to a 24-hour time. Button switch can be used to adjust hours, a stopwatch/clock function of
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:119037
    • 提供者:siren
  1. 1

    0下载:
  2. 微机接口课程设计报告,8253+8255接口模拟交通信号灯的实时控制和管理-Computer Interface curriculum design report, 8253+8255 Interface real-time simulation of traffic signal control and management
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:19293
    • 提供者:zouhua
  1. paobiao

    0下载:
  2. 实现数字跑表功能,该跑表具有复位、暂停、秒表计时等功能。-Digital stopwatch function, the stopwatch with a reset, pause, stopwatch timer functions.
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:483435
    • 提供者:刘新
  1. design_a_stopwatch_using_VHDL

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示,用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态-Designed to be a cis-timing and countdown stopwatch. Required time ranges from 00.0S ~ 99.9S, with three digital tube display, with three light-emitting diode display cor
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:8020
    • 提供者:林文
  1. Design_of_multi-functional_sports_stopwatch

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。用VHDL语言-Designed to be a cis-timing and countdown stopwatch. Required time ranges from 00.0S ~ 99.9S, with three digital tube display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:234856
    • 提供者:林文
  1. mcutest

    0下载:
  2. 这是我们学校单片机的上机考试,用的是汇编语言的变成,实现秒表的功能,到规定的值还会自动返回-This is our school MCU examination on computer, using the assembly language into achieving stopwatch functions, to the specified value will automatically return
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:30903
    • 提供者:justing88
  1. elewatch

    0下载:
  2. 电子秒表系统设计-具有详细的设计说明,以及模块划分。-Electronic stopwatch system design- with detailed design descr iption,and module division.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:183512
    • 提供者:李火
  1. stopwatch

    0下载:
  2. 此程序实现计时秒表功能,时钟显示范围00.00~99.99秒,分辨度:0.01秒-This program achieved stopwatch function, clock display range 00.00 ~ 99.99 seconds, resolution: 0.01 seconds
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:5717
    • 提供者:fisher
  1. dianzimiaobiao

    0下载:
  2. dianzimiaobiao数字式电子秒表
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:6076
    • 提供者:liu
« 12 3 »
搜珍网 www.dssz.com